KR101013231B1 - 환원펄스를 이용한 원자층증착에 의한 질화금속증착 - Google Patents

환원펄스를 이용한 원자층증착에 의한 질화금속증착 Download PDF

Info

Publication number
KR101013231B1
KR101013231B1 KR1020047003835A KR20047003835A KR101013231B1 KR 101013231 B1 KR101013231 B1 KR 101013231B1 KR 1020047003835 A KR1020047003835 A KR 1020047003835A KR 20047003835 A KR20047003835 A KR 20047003835A KR 101013231 B1 KR101013231 B1 KR 101013231B1
Authority
KR
South Korea
Prior art keywords
delete delete
metal
reactant
deposition
substrate
Prior art date
Application number
KR1020047003835A
Other languages
English (en)
Other versions
KR20040044931A (ko
Inventor
카이 에릭 엘러스
웨이-밍 리
Original Assignee
에이에스엠 인터내셔널 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 인터내셔널 엔.브이. filed Critical 에이에스엠 인터내셔널 엔.브이.
Publication of KR20040044931A publication Critical patent/KR20040044931A/ko
Application granted granted Critical
Publication of KR101013231B1 publication Critical patent/KR101013231B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24273Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
    • Y10T428/24322Composite web or sheet
    • Y10T428/24331Composite web or sheet including nonapertured component

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 방법들은 질화금속, 탄화금속, 니트라이드 카바이드 금속을 포함하는 콘포말한 금속박막(150)을 성장시키기위한 도구들을 제공한다. 특히, 이러한 막을 공격적인 화학물질로부터 성장시키는 방법들을 제공한다. 할로겐화수소와 같이 부식되는 화합물의 양은 천이금속, 탄화천이금속, 질화천이금속, 니트라이드 카바이드 천이금속 박막들을 금속과 산화물같은 다양한 표면들에 증착하는 동안에 환원된다. 게터화합물은 할로겐화수소나 할로겐화암모늄에 민감한 알루미늄, 구리, 산화규소와 증착된 층과 같은 표면들을 부식으로부터 보호한다. 또한, 금속박막과 결합된 나노적층물들과 이를 형성하기 위한 방법들이 개시되어 있다.

Description

환원펄스를 이용한 원자층증착에 의한 질화금속증착 {METAL NITRIDE DEPOSITION BY ALD WITH REDUCTION PULSE}
본 발명은 일반적으로 교호적인 자기포화 화학반응(alternated self-saturating chemistries)에 의해 기판 위에 박막을 증착하는 것에 관한 것이다. 더욱 상세하게는, 본 발명은 형성공정 동안 부식 종(species)을 이용하면서 원자층증착(Atomic Layer Deposition, ALD)에 의해 기판 위에 증착된 박막에 관한것이다.
본래 원자층에피택시 (Atomic Layer Epitaxy, ALE)로 알려진 원자층증착(ALD)은 증기증착의 발전된 형태이다. 원자층증착공정들은 연속적인 자기포화 표면 반응들에 근거한다. 이러한 공정들의 예들은 미국특허 4,058,430 과 5,711,811에 개시되어 있다. 개시된 증착공정들은 시스템을 빠르게 하는 불활성담체와 정화가스를 사용함으로써 이득을 얻는다. 상기 공정의 자기포화 특징으로 인해, 원자층증착은 거의 완벽하게 원자 정도로 얇게 막의 콘포말(conformal) 증착을 가능하게 한다.
이 기술은 전자발광성 평판 디스플레이장치를 위한 박막구조를 제조하고, 바람직하게 극히 고도의 표면적을 보이는 화학촉매의 콘포말 코팅을 위해 처음 개발되었다. 더욱 최근에는, 원자층증착이 집적회로의 제조에 적용될 수 있음이 발견되 었다. 이러한 특별한 콘포말성과 이러한 기술에 의해 가능해진 제어는 최첨단의 반도체공정이 요구하는 더욱 축소된 규모에 적합하다.
원자층증착에 의해 민감한표면에 박막을 증착하기 위한 방법은 PCT 국제공개번호 01/29839에 개시되어있다.
원자층증착이 많은 반도체 제조에 대해 잠재적 가능성을 가지는 반면, 이러한 새로운 공정을 확립된 공정에 통합하는 것은 많은 새로운 성과를 낳고 있다. 따라서, 향상된 원자층증착 공정이 필요하다.
본 발명의 한 특징에 의하면, 반응공간에서 기판에 물질을 증착하는 방법이 마련된다. 상기 기판은 할로겐화물질의 공격에 민감한표면을 가지고 있다. 복수의 증착사이클들에서 반응물의 교호적인 펄스들을 제공하는 것을 포함하는 방법에 있어서, 각각의 사이클은:
제1반응물이 반응공간에 공급되어 많아야 대략 한개의 단일금속특성층을 기판의 표면 위에서 화학 흡착하는 제1주기와;
제2반응물이 반응공간에 공급되는 제2주기와;
상기 단일층으로부터 할로겐화 물질을 얻을수 있는 화학물질을 반응공간에 공급하는 제3주기로 인하여 제1주기가 곧바로 뒤따르지 않도록 하는 제3주기를 포함하는 것을 특징으로 한다.
도시된 실시예에서, 상기 주기들은 반응물들과 반응부산물들을 정화하는 불활성가스공급기간들에 의해 구분되는것을 특징으로 한다. 제2반응물은 막의 성장에 기여하는 질소, 탄소 혹은 산소와 같은 종이다. 또한, 제3반응물은 도시된 실시예에서 니트라이드 카바이드 금속(metal nitride carbide)을 형성하는 탄소원으로서 쓰인다. 일부 장치에서는 추가의 주기들이 있을 수 있다.
본 발명의 다른 특징에 의하면, 원자층증착형 공정을 이용하여 반응공간 내에서 기판 위에 WNxCx박막을 형성하는 방법이 제공된다. 상기 원자층증착공정은 복수의 증착사이클에서 반응물들의 교호적인 펄스들을 제공하는 것을 포함한다. 바람직하게, 각 사이클은 육불화텅스텐(WF6), 암모니아(NH3), 트리에틸붕소(TEB)를 순서대로 공급하는 것을 포함한다. 바람직하게, 과다반응물 및/또는 반응부산물들은 다음 반응물을 공급하기 전에 반응공간으로부터 제거된다.
본 발명의 이러한 그리고 그외의 특징은 아래의 설명과 첨부된 도면에 의해 당업자에게 쉽게 명백해질것이다. 첨부된 도면들은 예시일 뿐 본 발명을 한정하지 않는다.
도1은 물리증기증착(PVD)에 의해 형성된 구리막을 찍은 주사전자현미경사진이다. 측정전압은 10kV이다.
도2는 케터(getter)나 소기(scavenger) 펄스를 사용하지 않는 원자층증착공정에 따라 질화티타늄(TiN)으로 덮힌 물리증기증착된 구리막의 주사전자현미경사진이다. 사진의 검은 영역은 질화티타늄 공정동안 구리의 부식된 영역을 가리킨다.
도3은 구리와 노출된 산화표면을 가지고 부분적으로 제조된 집적회로에서 이 중 상감 구조로 이루어져 있으며, 그 위에 금속 혹은 금속화합물 증착이 바람직한 대표적인 작업물의 개략단면도이다.
도4는 바람직한 실시예에 따라 콘포말한 박막으로 이중 상감도랑과 접촉구의 윤곽을 그린 후의 도3의 작업물을 도시하고 있다.
도5는 바람직한 실시예들 몇몇에 따른 원자층증착에 의한 이성분 화합물을 형성하는 방법을 일반적으로 도시한 흐름도이다.
도6A 내지 도6D는 질화티타늄 박막을 증착하기 전에 WNC층을 구리표면에 증착한 결과 도시하고 있는 주사전자현미경사진들이다.
도7은 여기 설명된 원자층증착 장벽층 공정들이 통합되는 집적회로 금속화를 위한 복수의 공정흐름들을 도시한 공정 흐름도이다.
본 명세서는 원자층증착형 공정에 의해 금속박막을 증착하는 방법, 특히 민감한표면들에 증착하는 방법을 말하고 있다. 당업자는 본 방법이 여러 환경에 적용될 수 있음을 인정할 것이다.
정의
본 발명의 설명을 위하여, "원자층증착(ALD) 공정"은 금속이 표면에 증착되는것이 연속적이고 교호적인 자기포화형 표면 반응들에 기초하고 있는 공정을 의미한다. 원자층증착의 일반원리들은 예를 들어, 미국특허 4,058,430과 5,711,811에 개시되어 있다. 이는 본 명세서에서 참조할 것이다. 일반적으로 원자층증착을 위한 조건들은 기판이 근원가스가 응결하는 온도보다 높고 근원가스가 열적으로 분해되 는 온도보다 낮은 온도대(temperature window)를 포함한다.
"반응공간(reaction space)"은 반응기 또는 반응실, 또는 임의의 한정된 부피를 의미한다. 반응공간 내의 조건들은 원자층증착에 의해 박막성장에 영향을 주도록 조절가능하다. 전형적으로, 반응공간은 정상작동 기간에 혼입흐름 및/또는 확산에 의해 가스들이나 입자들이 기판을 향해 뿜어지는 모든 반응가스 펄스들을 직면한 표면들을 가지고 있다.
"흡착(adsorption)"은 원자나 분자의 표면에 대한 화학적인 부착을 의미한다.
"표면(surface)"은 반응공간과 기판의 지형간의 경계를 의미한다.
"민감한표면(sensitive surface)"은 부식이 가능한 표면으로, 특히 할로겐화물이 존재할 경우 가능하다. 민감한표면은 산화규소나 질화규소와 같은 실리콘 화합물의 표면 뿐만 아니라 알루미늄과 구리와 같은 금속들의 표면으로 되어 있으나 이에 한정되지는 않는다.
"게터(getter)", "게터링작용제(gettering agent)", "소기제(scavenger)"들은 금속을 포함한 박막의 증착 동안에 기판표면이나 반응공간에 제공되는 상기 부식종들과 같이, 할로겐이나 할로겐화종으로부터 새로운 휘발성 화합물을 형성할 수 있는 휘발성 종을 의미한다(예를들어, 할로겐화수소, 할로겐화암모늄). 전형적으로, 상기 새로운 할로겐화합물은 작업물의 노출된 지형에 대한 부식이 할로겐화수소나 할로겐화암모늄보다 덜하다.
한 끝이 원자에 붙는 기호 "-"와 "="은 임의의 원자들과 이온들에 대한 결합 의 수를 의미한다.
질화금속(예를들어, WNx혹은 TiNx)에서 아래첨자 "x"는 반드시 화학량론적일 필요는 없고, 다양한 금속 대 질소 비율와 함께 넓은 범위의 상을 가진 질화천이금속을 나타내는데 사용한다.
탄화금속(예를들어, WCy혹은 TiCy)에서 아래첨자 "y"는 반드시 화학량론적일 필요는 없고, 다양한 금속 대 질소 비율와 함께 넓은 범위의 상을 가진 탄화천이금속을 나타내는데 사용한다.
"나노적층(nanolaminate)"과 "나노적층구조"는 나노적층의 성장방향으로 다른 상의 박막층들이 쌓여진 층 구조를 말한다. "교차의(alternating)" 혹은 "쌓여진(stacked)"의 의미는 이웃한 박막층이 어느정도 서로 다름을 말한다.
"박막(thin film)은 분리된 이온들, 원자들 혹은 분자들로서, 진공, 기체상태, 혹은 액체상태를 거쳐 공급원에서 기판으로 이송되는 원소나 화합물들로 성장된 막을 의미한다. 막의 두께는 적용되는 것에 따라 넓은 범위, 바람직하게는 단원자층에서 1,000nm의 범위에서 변할 수 있다. 나노적층에 결합될 때, 박막은 대략 바람직하게 20nm 미만의, 더욱 바람직하게는 대략 10nm 미만의, 가장 바람직하게는 대략 5nm 미만의 두께를 가진다.
"금속박막(metallic thin film)"은 금속을 포함한 박막을 의미한다. 금속박막은 기본적인 금속을 필수적으로 포함하는 기본적인 금속박막일 수 있다. 감소작용제에 따라 기본적인 금속박막은 막의 금속특성 혹은 나노적층의 특성에 역효과를 주지 않는 정도의 양으로 약간의 탄화금속 및/또는 붕소화금속을 함유할 수 있다. 덧붙여, 금속박막은 필수적으로 질화금속, 탄화금속, 니트라이드 카바이드 금속 (WNXCY)과 같은 화합금속을 포함하는 화합금속박막일 수 있다.
통합 논점
할로겐화물은 일반적으로, 특히 할로겐화천이금속은 열분해에 대해 높은 휘발성과 내구성을 가지고 있어 원자층증착을 위한 매력적인 근본 화학물질이다. 이러한 할로겐화물로서, 실내온도 가까이에서 액체나 기체상태인 사염화티타늄(TiCl4)와 육불화텅스텐(WF6) 같은 화합물들이 공급원 용기에서 고체입자를 발생시키지 않기 때문에 적합하다. 휘발성에 더하여, 많은 이러한 할로겐화합물들이 특히 원자층증착공정에서 중요한 종(예를들어 금속함유종)의 화학흡착을, 할라이드테일(halide tails)로 종료된 그 종의 단층 미만을 남기며 가능하게 하므로 유용하다. 상기 할라이드테일은 중요한 종의 화학흡착이나 반응을 예방하므로, 상기공정은 적당한 온도조건에서 자기포화와 자기제한을 하게된다.
할로겐화금속이 예를들어 질화금속박막, 탄화금속박막, 니트라이드 카바이드 금속박막들과 같은 화합금속박막의 원자층증착 공정에 의한 형성에 사용될 수 있다. 그러나 이러한 공정들은 원자층증착으로 원하는 완벽히 콘포말한 증착을 얻지 못한다. 도2와 실시예1,2,4의 검토는, 예를 들어 암모니아와 교대로 할로겐화금속을 사용하면서 질화금속과 탄화금속을 형성하는 원자층증착 공정 동안에 "노출된" 구리에 의해 부식손상이 지속됨을 증명한다. 사실, 이러한 손상은 구리가 5nm의 텅 스텐금속에 의해 덮혀있을지라도 계속될 수 있다. 이와 같이, 민감한표면은 얇게 덮힌 (예를 들어, 10nm이하의 다른 물질로 덮힌) 구리층을 포함할 수 있다.
할로겐화금속과 높은 수소성분의 화학물질을 사용하는 원자층증착 공정은 할로겐화수소(예, 불화수소(HF), 염산(HCL))를 반응부산물로서 방출한다. 이러한 반응부산물들은 특정 금속표면에 깊이 팬 곳을 남기거나 금속 전부를 제거하기까지 하는 손상을 입힐 수 있다. 또한, 이산화규소는 할로겐화수소의 형성으로 인하여 부식하기 쉽다.
또한, 할로겐화수소는 질소상 동안 과도한 암모니아(NH3)와 결합하듯, 원자층증착상 동안 다른 반응물들과 결합하여 상기 부식문제를 더욱 심각하게 하는 할로겐화암모늄(예, 불화암모늄(NH4F))과 같은 부가의 치명적인 종을 형성할 수 있다. 따라서, 할라이드(halide)-와 하이드로젠(hydrogen)-을 견디는 반응물들을 교대시킴으로써 얻어진 부산물은 알루미늄, 구리, 이산화규소와 같은 부분적으로 제작된 집적회로의 노출된 물질을 부식시키는 경향이 있다.
바람직한 작업물
한 특징으로, 본 발명은 원자층증착에 의해 기판의 표면에 탄화금속, 질화금속, 니트라이드 카바이드 금속박막과 같은 금속박막의 증착을 포함한다. 한 실시예에 따르면, 박막들은 나노적층을 형성한다. 바람직한 실시예는, 할로겐화물, 특히 할로겐화수소 및/또는 할로겐화암모늄에 대한 부식에 민감한표면을 가지는 기판에 증착하는 것을 포함한다. 이러한 민감한표면은 예를 들어, 산화규소와 질화규소와 같은실리콘 화합물 뿐만 아니라 알루미늄과 구리와 같은 금속을 포함한다. 앞으로 아래 자세히 설명됨에 따라, 민감한표면들은 일반적으로 할로겐화수소나 할로겐화암모늄과 상기표면간의 반응에서 음이거나 거의 0인 깁(Gibb)의 자유에너지(Gf)를 가지는 것을 특징으로 한다.
도3은 복수의 상기물질 위에 증착이 바람직한 이중상감 환경을 도시하고 있다. 상기 구조는 전구체로서 테트라에틸오쏘실리케이트(TEOS)를 사용하는 플라즈마화학증기증착(PECVD)에 의해 증착된, 바람직하게는 산화규소의 형성인, 제1 혹은 하부절연층(50)을 포함한다. 하부절연층(50)은 장벽층(51, 예를 들어, 질화규소, 산질화규소 혹은 탄화규소) 위에 형성되고, 이들은 전도성요소(52) 위에 놓인다. 이중상감환경에서 전도성요소(52)는 전형적으로 전도성 높은 배선 금속을 포함하고, 가장 바람직하게는 구리이다. 제1절연층(50) 위에는 하부절연층(50)에 비해 식각률이 두드러지게 다른 물질로 형성된 식각봉쇄(54)가 있다. 식각봉쇄(54, 예를 들어 질화규소, 산질화규소 혹은 탄화규소)는 하드마스크로서 쓰이기 위해 작업물을 가로지르며 접촉구를 한정하는 복수의 개구(55)들을 포함한다. 제2 혹은 상부절연층(56, 이 또한 플라즈마화학증기증착에 의한 테트라에틸오쏘실리케이트)은 상기 식각봉쇄(54) 위에 형성되고, 광택차폐물(58)은 최후의 화학적이고 역학적인 평탄화(CMP) 과정을 멈추게 한다. 전형적으로, 광택차폐물(58)은 질화규소나 산질화규소와 같이 비교적 딱딱한 물질을 포함한다.
당업자들이 알다시피, 이중상감구조는 포토리쏘그래피 (photolithography)에 의해 형성된다, 식각단계들은 불연속적인 위치에서 도랑 바닥으로부터 연장된 접촉구(62)와 함께 복수의 도랑(60)을 정의한다. 도랑(60)은 집적회로디자인에 따라 전기소자들끼리의 연결을 위한 도선패턴을 정의한다. 접촉구(62)는 회로디자인이 요구하는 전기소자들 혹은 도선층들을 낮추기 위한 전기적 연결의 위치를 정의한다.
당업자는 다양한 선택적인 재료와 구조가 이러한 목적들을 당성할 수 있음을 인정할 것이다. 예를 들어, 바람직한 절연층(50,56)은 플라즈마화학증기증착에 의한 테트라에틸오쏘실리케이트인 반면, 다른 실시예에선 이러한 층의 재료가 수많은 다른 적절한 유전물질 중 어떤 것이라도 포함할 수 있다. 예를 들어, 유전물질은 종래의 산화물에 비하여 낮은 유전율(low k)을 보인다는 사실이 최근 밝혀졌다. 이러한 낮은 유전율(k)의 물질은 중합물질, 다공성물질, 플루오르 불순물을 포함한 산화물을 포함한다. 마찬가지로, 장벽(51), 식각봉쇄(54), 차폐물(58)은 상술한 기능에 적합한 수많은 다른 재료 중 어떤 것이라도 포함할 수 있다. 더우기, 상기 층들(51,54,58)의 어떤 하나 혹은 모두는 이중상감 구조를 생산하기 위한 다른 설계에서 생략될 수 있다.
도4에 도시된 바와 같이, 이중 상감도랑(60)과 접촉구(62)는 막박(150)에 의해서 윤곽이 그려진다. 막막(150)은 구조의 특히 원하는 표면에 선택적으로 형성될 수 있지만, 바람직한 실시예에 따라 원자층증착에 의해 콘포말증착된 블랭킷층에 형성되는 것이 가장 바람직하다. 도시된 실시예에서, 박막은 전도성을 띄고, 전기적 신호를 이를 통해 흐르게 한다.
집적회로는 보통 알루미늄으로 만들어진 상호연결을 포함한다. 최근 구리는 이 분야에서 유력한 물질이 되었다. 그러나, 구리는 주변물질에 대해 확산되기 쉽다. 확산은 회로의 전기적특성에 영향을 주고, 활성성분들의 기능불량을 낳을 수 있다. 전기적으로 전도성을 띈 확산장벽층은 확산을 예방할 수도 있다.
종래에는, 이중 상감구조에서 윤곽박막은 전도성의 부착보조층(예, 텅스텐금속)과, 장벽보조층(예, 질화티타늄)과, 종자(seed) 보조층(예, 물리적증기증착(PVD) 구리)를 포함한다. 바람직한 박막(150)은 원자층증착에 의해 형성된 하나 이상의 보조층들을 갖고, 다른 방법에 의해 형성된 하나 이상의 보조층들을 갖는다. 일반적으로 윤곽층의 두께는 최소화하고, 최후로 증착된 고전도성의 금속(바람직하게는 구리)이 차지하는 구조의 부피는 최대화하는것이 바람직하다. 이러한 목적으로, 바람직한 실시예는 민감한 표면을 식각시키지 않고 장벽층을 직접 두 산화표면과 구리표면(혹은 다른 민감한 표면) 위에 증착시키는 수단과, 극도록 얇은 부착층을 부식없이 증착시키는 수단을 제공한다.
당업자들이 알다시피, 박막(150)의 형성 후, 도랑(60)과 구(62)는 고전도성 금속으로 채워질 수 있다. 그리고나서, 광택단계는 도랑(60) 내에서 각각의 윤곽이 절연되는 것을 확실하게 하다.
상기 서술한 이중 상감공정과 같은, 집적회로들의 제조에 사용되는 금속화 공정에서, 사전 금속화층의 구리는 전형적으로 구의 바닥에서 가스환경에 노출된다. 결과적으로, 구리금속의 표면에서 구리산화물의 산화와 형성이 일어나기 쉽다. 구리산화물은 낮은 전기전도성을 가진다. 따라서, 이러한 같은 챔버에서 구리산화물을 구와 도랑의 표면에 확산장벽을 증착하기 전에 제거하거나 줄이는 것이 유리 하다. 환원에 의해 구리산화물을 제거하기 위한 방법은 PCT 국제공개 번호 01/88972에 나타나 있고, 이는 여기서 참조한다. -OH(알코올), -CHO(알데하이드), -COOH(카르복실 산)으로부터 적어도 하나의 기능적인 그룹을 포함하는 기체상태의 유기화합물이 구리산화물을 본래의 구리로 환원시키기 위해 사용될 수 있다. 그리고나서, 확산장벽은 순수 구리금속 표면에서 성장할 수 있다. 결과로서, 구리와 확산장벽 간의 접촉저항은 매우 작을 것이다.
확산이 박막의 결정경계를 따라서 촉진되기 때문에 비결정질의 막들은 확산장벽의 특성을 향상시킬 것으로 믿어진다. 확산장벽 물질들은 일반적으로 예를 들어 질화금속(질화티타늄(TiN), 질화탄탈륨(TaN), 질화텅스텐(WN)), 탄화금속(탄화텅스텐(WCy)), 나노적층물(WN/TiN) 중에서 선택될 수 있다. 인기있는 확산장벽은 TiNx, TaNx, WNx와 같은 질화천이금속이다. 또한, 탄화금속(예, WCy )과 니트라이드 카바이드 금속(예, WNxCy)도 좋은 전도성을 가진 확산장벽으로 발견되었다.
질화금속을 증착시키는 방법은 예를 들어, PCT 국제공개 번호 01/27347에 개시되어 있고, 이는 여기서 참조한다. 탄화천이금속(예, 탄화텅스텐)의 증착은 예를 들어, PCT 국제공개 번호 01/29280에 개시되어 있고, 이는 여기서 참조한다. 나노적층물을 증착시키는 방법은 예를 들어, PCT 국제공개 번호 01/29893에 개시되어 있고, 이는 여기서 참조한다.
본 발명의 한 특징에 따르면, 훌륭한 확산장벽 특성들 (예들 들어, 박막의 두께, 저항의 균일함, 부착력, 구리의 확산을 예방하는 효율)이 천이금속이나 천이 금속화합물, 바람직하게는 니트라이드 카바이드 천이금속, 더욱 바람직하게는 니트라이드 카바이드 텅스텐으로 이루어진 박막을 증착하기 위해 원자층증착 근원 화학물질을 특정 순서로 반응실에 공급함으로써 얻어진다.
실험은 여기에서 배운 방법이 45:1 이상 까지의 특성비율로 박막을 지형에 증착가능하다는 것을 보여주었다.
이하에서 알려줄 확산장벽의 증착 이후, 기판의 공정을 계속할 적어도 다섯가지의 선택이 있다. 다섯가지 선택은 무종자(no seed), 예비종자(pre-seed), 종자(seed), 점진층(graded layer), 간접종자(indirect seed)를 포함한다. 이러한 선택들은 도7에 도시되어 있다.
"무종자(no seed)"의 선택은 전기화학증착(electrochemical deposition, ECD)에 의해 확산장벽 위의 직접적인 구리금속의 성장에 의존한다.
"예비종자(pre-seed)"의 선택은 화학증기증착(CVD)이나 원자층증착(ALD)에 의해 확산장벽 위에 전도성있는 금속의 매우 얇은 증착층에 기초한다. 이러한 층은 체적 금속의 무전해도금(electroless plating, ELP)이나, 실제종자층의 화학증기증착 성장을 위한 성장입문이나 핵형성층으로서 작용한다.
무전해도금기술은 매우 얇은 종자층을 활용할 수 있고, 용량을 채우는 좋은 구와 도랑을 가지고, 체적 금속의 증착동안 웨이퍼의 어떠한 전기적 접촉도 필요하지 않다. 무전해도금은 환원제를 포함하는 금속염수용액에서 대략 +30℃ 에서 +80℃에서 일어나는 화학적인 환원반응에 기초한다. 금속이온과 환원제는 용액에 담가진 기판의 표면에서 서로 반응하여 기판의 표면 위에 금속을 형성한다.
실제 종자층을 형성한 후에는, 공정이 진행될 적어도 두개의 가능성이 있다(도7 참조). 첫번째 가능성에 따르면, 구들과 도랑들이 전기화학적으로 증착된 구리로 채워진다(즉, 전기도금 "ECD"). 두번째 가능성에 따르면, 구들과 도랑들이 PCT 국제공개 번호 01/78123에 설명된 촉매증착공정에 의해 채워진다. 여기서 참조된 설명은 촉매작용으로 향상된 화학증기증착("CE-CVD")이다. 촉매작용으로 향상된 화학증기증착(CE-CVD)에서, 촉매는, 바람직하게 요오드를 포함한 화합물은 도랑들과 구들의 표면에 있다. 이리하여, 표면은 구들과 도랑들 위에서 구리의 화학증기증착(CVD) 성장을 촉매작용으로 향상시킨다.
"종자(seed)"의 선택은 원자층증착법(ALD), 화학증기증착(CVD), 물리적증기증착법(PVD)들에 의해 확산장벽의 표면에 전기적 전도성을 띈 금속박막 증착에 기초한다. 종자층이 증착된 후에는, 기판 공정이 진행될 적어도 세개의 가능성이 있다(도7 참조). 첫번째 가능성에 따르면, 구들과 도랑들이 전기화학적으로 증착된 구리로 채워진다(즉, 전기도금 "ECD"). 두번째 가능성에 따르면, 구들과 도랑들을 체적금속(예, 구리)으로 채우기 위해 무전해도금("ELP")이 사용된다. 이러한 경우 종자층이 매우 얇을 수 있다. 세번째 가능성에 따르면, 구들과 도랑들은 금속(예를들어, 구리)의 촉매작용으로 향상된 화학증기증착("CE-CVD")에 의해서 채워진다.
"점진층(graded layer)"의 선택은 여기서 참조하는 미국특허 2001/0041250에 나타나 있는 확산장벽과 그 다음에 오는 금속화층 사이의 천이층을 점진적인 방법으로 성장시키는 것에 기초한다. 점진층 증착방법은 구들과 도랑들의 절연층과 구 바닥의 금속 표면에서 점진층의 성장이 질화금속의 성장과 함께 시작하기 때문에 확산장벽을 대체할 수 있다. 그리고나서, 성장하는 점진층의 조성은 증착공정 동안 순수금속(예, 구리)을 향하여 조정된다. 점진층이 증착된 후에는, 기판 공정이 진행될 적어도 세개의 가능성이 있다(도7 참조). 첫번째 가능성에 따르면, 구들과 도랑들이 전기화학적으로 증착된 구리로 채워진다(즉, 전기도금 "ECD"). 두번째 가능성에 따르면, 구들과 도랑들을 체적금속(예, 구리)으로 채우기 위해 무전해도금("ELP")이 사용된다. 세번째 가능성에 따르면, 구들과 도랑들은 금속(예를들어, 구리)의 촉매작용으로 향상된 화학증기증착("CE-CVD")에 의해서 채워진다.
마지막으로, "간접종자(indirect seed)"의 선택은 여기서 참조하는 미국특허공보 2002/0004293에 나타나 있는 방법에 기초한다. 산화금속은 확산장벽의 표면에 원자층증착에 의해 성장한다. 그리고나서, 산화금속, 예를 들어, -OH(알코올), -CHO(알데하이드), -COOH(카르복실 산)으로부터 적어도 하나의 기능적인 그룹을 포함하는 기체상태의 유기화합물이 본래의 금속으로 환원될 수 있다. 산화금속을 플라즈마수소와 같이 활성화수소와 함께 본래의 금속으로 환원시키는 것이 가능하다. 그리고, 본래의 금속은 체적금속의 증착을 위해 종자층으로서 사용될 수 있다. 결과적인 종자층은 간접종자 방법이 매우 균일한 박막을 생산하기때문에 매우 얇아질 수 있다. 환원공정 후에는, 기판 공정이 진행될 적어도 세개의 가능성이 있다(도7 참조). 첫번째 가능성에 따르면, 구들과 도랑들이 전기화학적으로 증착된 구리로 채워진다(즉, 전기도금 "ECD"). 두번째 가능성에 따르면, 구들과 도랑들을 체적금속(예, 구리)으로 채우기 위해 무전해도금("ELP")이 사용된다. 세번째 가능성에 따르면, 구들과 도랑들은 금속(예를들어, 구리)의 촉매작용으로 향상된 화학증기증착("CE-CVD")에 의해서 채워진다.
기판위의 산화구리가 구리금속으로 환원 되었을 때, WNxCy가 여기 서술한 원자층증착에 의해 증착되었을 때, 그리고 구리금속으로의 환원에 뒤따라 원자층증착으로 산화구리를 증착하여 간접종자층이 만들어졌을 때 좋은 결과가 얻어졌다.
나노적층구조
나노적층물은 향상된 확산장벽 특성을 가지는 층구조이다. 나노적층은 다수의 박막으로 구성되고, 증착 동안 정상적인 결정의 성장이 붕괴를 통하여 불순물들을 위한 매우 복잡한 확산경로 를 만드는 구조를 가진다. 따라서, 나노적층물은 서로 다른 상, 예를 들어 결정구조가 다르고 결정격자 매개변수가 다른 박막이 교차하는 박막층들을 포함한다.
본 발명의 한 실시예에 따르면, 나노적층 구조들은 기판 위에 형성된다. 바람직하게, 나노적층물 구조는 전도성을 띄고 확산장벽기능에 도움이 되는 적어도 하나의 천이금속화합물 박막층을 포함한다. 금속화합물은, 예를 들어 질화금속, 탄화금속, 혹은 니트라이드 카바이드 금속일 수 있다. 나노적층물은 또한 하나이상의 본래금속박막층들을 포함할 수 있다.
바람직하게, 나노적층물 구조는 나노적층의 성장 방향에 대하여 서로 다른 상을 가진 물질이 교대로 쌓여진 박막층들의 적층구조이다. 바람직하게, 나노적층물의 구조는 적어도 두가지 다른 상을 가지는 물질을 포함한다. 따라서, 적어도 두개의 인접한 박막층들은 바람직하게 서로 다른 상을 가진다. 예를 들어, 그들이 서 로 다른 구조, 조성 혹은 전기적 고유저항을 가질 수도 있다. 바람직하게, 세개의 층을 가진 나노적층물에서, 층들 중 적어도 하나는 다른 두층과는 다른 상을 가진다.
본 발명의 나노적층물은, 예를 들어 집적회로에서 확산장벽으로서 쓰일 수 있다. 또한, 그들은 엑스레이(X-rays)의 반사체로서 쓰일 수 있다. 다른 전도성을 띈 장벽층들에 질화금속, 탄화금속 혹은 니트라이드 카바이드 금속을 포함하는 나노적층물의 구조는 특히 장벽들의 상호연결에 적합하다. 더우기, 이러한 물질들은 증착공정에서 할로겐화수소와 할로겐화암모늄으로부터의 공격에 민감하다. 따라서, 이하 서술되는 방법들이 우수한 나노적층물을 가능하게 한다.
바람직한 원자층증착방법
여기에 제공되는 방법들은 기판 표면 위에 콘포말한 금속박막들과 나노적층물들의 증착을 가능하게 한다. 바람직한 실시예에서, 박막들은 민감한표면에 활동적인 화학물질로부터 증착된다. 또한, 기하학적으로 도전적인 응용들이 표면반응의 자기제한 특징으로 부터 가능하다.
바람직한 실시예에 따르면, 원자층증착형 공정은 집적회로 작업물과 같은 기판에 금속박막을 형성하기 위해 사용된다. 기판들은 할로겐화물의 공격에 민감한표면들을 포함한다. 이러한 민감한표면들은 다양한 형태를 가질 수 있다. 예로 실리콘, 산화규소(SiO2), 코팅된 실리콘, 유전물질, 낮은 유전율(low-k)의 물질, 구리와 알루미늄같은 금속, 금속합금, 산화금속, 질화천이금속과 질화규소와 같은 여러 질 화물들, 혹은 상기 물질들의 결합을 포함할수 있으나, 이에 한정하지는 않는다. 도4와 도5에 대해 위에 언급한 바와 같이, 바람직한 상감과 이중상감환경은 접촉구의 바닥에서 산화규소로 된 절연체와 노출된 구리선들을 포함한다.
반응실에 놓인 기판 혹은 작업물은 번갈아 반복되는 표면반응을 겪게된다. 특히, 박막들은 자기제한 원자층증착 사이클의 반복에 의해 형성된다. 바람직하게, 각 원자층증착 사이클은 적어도 세가지의 별개의 주기들을 가진다. 화합금속박막 증착의 경우, 상기 세가지 주기에 대응하는, 적어도 세가지의 다른 소스(source) 화학물질이 교대로 사용된다. 반 반응물 기판표면에서 대략 하나의 단일층 이상을 형성하지 않을 것이고, 증착되고 있는 층이 요구하는 금속 종을 포함한다. 이러한 반응물, 또한 여기서 "금속반응물"로 언급되는 반응물은 바람직하게는 할로겐화물이고, 이에 따라, 증착된 단일층은 할로겐 리간드(ligands)로 종료된다. 다른 반응물은 하이드로젠-베어링(hydrogen-bearing)하고, 바람직하게는 증착되고 있는 층이 요구하는 다른 종, 특히 질소나 탄소를 포함한다. 이 반응물은 전형적으로 할로겐화물이 아니다. 바람직한 실시예에서, 할로겐에 강한 반응물은 암모니아(NH3)이다.
세번째 반응물은 바람직하게 부식 종을 청소하거나 게터링할 수 있는 게터링작용제이다. 따라서, 세번째 반응물은 단일층 및/또는 반응공간으로부터 할로겐화물을 청소할 수 있다. 도시된 실시예에서, 세번재 반응물은 강한 환원제 특히, 수소(H2)보다 강한 탄소화합물이다. 더우기, 한 실시예로, 세번쩨 반응물은 또한 박막이 요하는 탄소와 같은 종을 제공한다. 바람직한 실시예로, 상기 게터링작용제는 트리에틸붕소("TEB")이다.
원자층증착 사이클의 한 주기("금속주기" 혹은 "제1주기")에서, 금속종을 포함하는 반응물은 반응실에 공급되고 기판표면에 화학흡착한다. 이러한 주기에서 공급된 반응물은 선택되어, 바람직한 조건에서, 표면에 속박될 수 있는 반응물의 수가 유용한 결합 자리의 수와 리간드를 포함하는 화학흡착된 종의 물리적 크기에 의해 결정된다. 금속반응물의 펄스에 의해 남겨진 화학흡착 층은 펄스의 남겨진 화학물질과 반응하지 않는 표면으로 자기종료된다. 이러한 현상을 여기서 "자기포화"라고 할 것이다. 당업자는 이러한 주기의 자기 제한적 본질이 원자층증착 사이클 전체의 자기제한을 만듬을 인정할 것이다.
작업물 표면에서의 최대의 단계 적용범위는 금속원료 화학분자들의 대략 단일의 분자층 이하가 각 자기제한 펄스에서 흡수될 때 얻어진다. 화학흡착 종의 크기와 반응자리의 수로 인하여, 금속반응물의 각 펄스보다 단일층 보다 다소작게 증착될 수 있다.
상기 사이클의 남겨진 두개의 주기에서, 소스 화학물의 펄스는 만일 선행한 펄스가 있다면 이에 의해서 기판표면에 남겨진 분자들과 반응하게 된다. 도시된 실시예에 따라, 금속 반응물의 화학흡착다음의 주기에서, 하이드로젠-베어링 소스 화학물질이 반응실로 공급된다. 하이드로젠-베어링 소스 화학물질은 바람직하게 박막과 결합될 질소, 산소 혹은 탄소와 같은 종들을 포함한다. 따라서, 바람직한 종은 금속 반응물에의해 남겨진 단일층과 하이드로젠-베어링 소스 화학물의 상호작용에 의해 박막에 결합된다. 이 주기는 여기서 "제2주기" 혹은 "종-기여 주기"로 말해진 다. 바람직한 실시예로, 하이드로젠-베어링 소스 화학물은 암모니아이고, 화학흡착된 금속 종과 반응하여 질화금속 층을 기판위에 생성한다.
원자층증착의 세번째 주기는 할로겐화물을 기판표면과 반응실로부터 게터링할 수 잇는 소스화학물을 제공한는 것을 포함한다. 덧붙여, 게터링작용제는 탄소와 같이 박막과 결합할 수 있는 종을 포함할 수도 있다. 상기 소스 화학물이 또한 탄소 전구체로 사용될 수 있음에 불구하고, 이 주기는 여기서 "제3주기" 혹은 "게터링 주기"로 언급된다.
"제1주기", "제2주기", "제3주기"라고 언급되었지만, 이러한 명칭은 편익을 위함이고 실제의 각 원자층증착 사이클의 주기 순서를 가리키는 것은 아니다. 따라서, 원자층 증착 사이클의 처음은 상기 서술한 세가지 주기의 어느 하나로 부터 시작된다. 그러나, 처음의 원자층증착사이클이 금속반응물주기와 함께 시작되지 않았다면, 적어도 두개의 다른 원자층증착 사이클이 원하는 박막의 대략 단일층을 증착하기위해 완료될 필요가 있다는 것을 당업자는 알것이다. 또한, 게터링주기는 금속주기의 곧 바로 다음에 오지 않는 것이 바람직하다. 주기는 오직 정화단계 혹은 다른 반응물 제거 단계가 사이에 있어야만 다른 주기의 곧 바로 다음에 올지가 고려된다.
부가적인 주기가 필요에 따라 원자층증착 사이클에 더해질 수 있다. 예를 들어, 부가적인 주기는 만일 추가의 종이 박막에 결합될 경우 더해질 수 있다.
반응하지 않은 소스 화학물과 반응부산물들은 펄스와 펄스 사이에서 반응공간으로 부터 제거되는 것이 바람직하다.
원자층증착 사이클은 원하는 두께 혹은 원하는 구조의 나노적층물이 성장될 때까지 반복되는 것이 바람직하다.
한 실시예에 따르면, 반응물들은 작업물 표면의 식각손상을 피하도록 선택된다.
또한, 반응물은 기판에 치면적인 종들을 포함할 수 있다. 따라서 치명적인 종들을 청소하기위해 각 원자층증착 사이클 동안 게터링작용제가 게터로서 작용하도록 선택되어, 각 주기에서 자기포화반응에 이바지하는 유리한 휘발성 반응물들의 사용이 여전히 가능한 동한에 민감한표면을 보호한다.
예3에 설명된 바와 같이, 금속반응물 주기에 곧 이어 게터링작용제가 공급되지 않는 경우 좋은 질의 화합금속박막들이 형성된다. 따라서, 바람직한 실시예에 따르면, 게터링주기는 종-기여주기 다음에 온다. 또, 게터링작용제는 금속반응물 주기 이전의 상에 공급될 수 있다. 이러한 경우, 종-기여주기는 금속 주기의 다음에 올 것이고, 당업자들이 알다시피, 사이클이 반복될 경우 게터링 주기가 종-기여주기 다음에 올 것이다.
도5는 화합물질을 증착하기 위한 일반적인 세가지 주기의 사이클을 도시하고 있다. 당업자는 여기에 서술된 원리들이 2성분, 3성분 혹은 더 복잡한 물질들을 원자층증착에 의한 증착에 쉽게 적용할 수 있음을 알수 있을 것이다. 예를 들어, 게터링작용제가 니트라이드 카바이드 금속막을 생성하기 위해 성장하고 있는 질화금속박막에 탄소를 공급할 수 있다. 또는, 부가의 주기들이 원하는 조성의 박막을 생성하기 위해 상기 사이클에 더해질 수 있다.
텅스텐 질화탄소(tungsten carbon nitride)를 증착하기 위한 대표적인 사이클이 아래에 설명되어 있다. 이 사이클은 세가지 반응물들의 어느 하나의 도입과 함께 시작될 수 있다.
WF6
↗ ↘
TEB ← NH3
민감한표면을 포함하는 반도체 작업물은 반도체공정반응로에 올려진다. 반응로는 집적회로의 형성에서 다양한 여러 공정들이 수행되는 클러스터도구의 일부일 수 있다. 대표적인 반응로, 특히 원자층증착 공정들을 향상시키도록 디자인된 반응로는 상표 "펄사2000(PulsarTM2000TM)" 아래의 핀란드 에이에스엠 마이크로캐미스트리(ASM Microchemistry)가 상업적으로 유용하다.
필요하다면, 작업물의 노출된 표면들(예, 도랑과 구의 옆면, 도3에 보여진 금속바닥)이 원자층증착 공정의 제1주기에 반응되도록 한정된다. 바람직한 실시예의 제1주기는 예를 들어, 수산기(OH) 결과물 혹은 초기 암모니아(NH3)처리에 의해 남겨진 결과물과 반응적이다. 이하 토론될 예들에서, 이중 상감구조의 산화규소와 질화규소의 표면들은 별도의 결과물을 요구하지 않는다. 도3의 구(60)의 바닥에서와 같은 특정 금속의 표면이, 예를 들어 암모니아처리와 같이 처리될 수 있다.
초기 표면 종료 후, 필요에 따라, 제1반응물펄스가 작업물에 공급될 수 있다(102, 도5). 바람직한 실시예에 따라, 제1반응물펄스는 작업물의 중요표면들과 반응하는 운반가스 흐름과 휘발성 할로겐화물 종을 포함하고, 증착층의 일부를 형성하기 위한 종을 더 포함할 수 있다. 따라서, 할로겐함유종이 작업물의 표면에서 흡수된다. 설명된 실시예에 따르면, 제1반응물은 할로겐화금속이고, 형성되고 있는 박막은 금속물질을, 더욱 바람직하게는 니트라이드 카바이드 금속을 포함한다. 제1반응물펄스는 작업표면들을 자기포화시킴으로써, 제1반응물펄스의 어떤 과잉 성분도 더이상 이 공정에 의해 형성된 단일층과 반응하지 않는다. 자기포화는 단일층을 종료하는 할로겐화물의 테일즈(tails)로 인해 생기고, 상기층이 더 반응하는 것으로부터 보호한다.
제1금속반응물펄스는 기체상태로 공급되는 것이 바람직하다. 일부 경우, 반응 종이 공정온도 보다 높은 녹는점을 가질 수 있다(예를 들어, 반응이 대략 350℃에서 이루어지는 동안 염화구리(CuCl)는 430℃에서 녹는다). 그럼에도 불구하고, 만일 노출된 표면을 포화시키기 위한 충분한 농도의 종을 작업물로 이동시키기위한 공정 조건에서 종이 충분한 증기압을 보여준다면, 본 설명의 목적을 위해 할로겐화물 소스 가스는 "휘발성"이 고려된다.
그리고나서, 제1반응물은 반응공간으로부터 제거된다(104). 바람직하게, 104단계는 과잉반응물과 반응부산물을 확산시키거나 정화시키기 위해 충분한 시간동안에, 바람직하게는 대략 반응실의 2배 부피의 정화가스보다 많게, 더욱 바람직하게는 3배 부피보다 많게 운반가스를 계속 흘려 보내는 반면, 제1화학물의 흐름은 중지시키는 것을 수반한다. 바람직하게, 제거(104)는 제1반응물펄스를 중지시킨 후 대략 0.1초와 20초 사이동안 정화가스 흘려보내기를 계속 하는 것을 포함한다. 중 간펄스 정화는 함께 출원 중(co-pending)인, 1999년 9월 8일에 출원되어 "박막의 성장을 위한 향상된 장치와 방법(IMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILM)"을 제목으로 하는 미국 특허 출원 번호 09/392,371에 설명되어 있고, 이는 여기서 참조한다. 다른 실시예에 따르면, 반응실을 화학물질을 교대하는 사이마다 펌프로 퍼내린다. 예를 들어, 1996년 6월 6일에 공개되고 "박막을 성장시키기위한 방법과 장치(METHOD AND APPARATUS FOR GROWING THIN FILMS)"를 제목으로 한 PCT 국제 공개 번호 96/17107를 보라, 이는 여기서 참조한다. 더불어, 흡착(104)과 반응물제거(104)는 원자층증착 사이클에서 제1주기를 나타낸다. 상술한 원자층증착 사이클에서 제1주기는 이러하여 금속주기이다.
실시예에 따르면, 그리고나서 제2반응물펄스가 작업물에 공급된다(106). 제2화학물질은 제1반응물에 의해 남겨진 단일층과 반응을 하거나 흡착되는 것이 바람직하다. 실시예에 따라, 이 제2반응물펄스(106)는 작업물에 하이드로젠-베어링 질소(예, 암모니아(NH3)) 소스 가스를 공급하는 것을 포함한다. 제2반응물로부터의 질소나 질소함유종들은 미리 흡착된 단일층과 반응하여 질소화합물을 남긴다. 특히, 제1반응물이 할로겐화금속을 포함하는 경우, 제2반응물은 질화금속의 대략 단일층이하를 남긴다. 또한, 제2반응물펄스(106)은 포화된 반응 주기에서 증착을 제한하도록 동작하는 표면종료를 남긴다. 질화금속 단일층을 종료하는 질소와 NH3 테일즈는 제2반응물펄스(106)의 암모니아(NH3)와 반응하지 않는다.
단일층을 제2반을물펄스(106)로 충분히 포화 반응할 정도의 시간이 지난 후 에, 어떠한 과잉 제2반응물도 작업물로부터 제거된다(108). 제1반응물의 제거(104)와 함께, 이번 단계(108)는 제2화학물의 흐름은 중지시키고, 과잉반응물과 휘발성반응부산물을 반응공간으로부터 확산시켜 내보내거나 정화시키기 위해 충분한 시간동안에 운반가스를 계속 흘려 보낸다. 더불어, 제2반응물펄스(106)와 제거(108)은 상술한 공정의 제2주기(109)를 나타내고, 또한 추가된 종이 반응에서 박막의 성장에 기여하므로 비금속 종-기여주기로 간주될 수 있다. 제2주기(109)는 또한 비할로겐화물 종-기여주기로 간주될 수도 있다.
제2반응물펄스의 과잉반응물이 반응실로 부터 제거(108)되었을 때, 제3반응물펄스가 작업물에 공급되는 것이 바람직하다(110). 제3반응물은 기판 표면 및/또는 반응공간으로 부터 할로겐화물을 청소 혹은 제거할 수 있는 트리에틸붕소(TEB)와 같은 게터링작용제인 것이 바람직하다. 게터링작용제는 바람직하게 운반흐름을 따라서, 작업물 표면과 포화상태로 반응할 정도의 시간동안 흐른다. 온도와 압력 조건은 아래에 놓인 물질을 향해 단일층을 통하여 게터링작용제가 확산하는 것을 방지하도록 정해지는 것이 바람직하다.
단일층이 제3반응물로 완전히 포화되고 반응할 충분한 시간이 경과 후, 과잉의 반응하지 않은 게터링작용제와 어떠한 반응부산물(바람직하게는 또한 휘발성)이 반응공간으로부터 바람직하게 정화가스펄스에 의하여 제거된다(112). 이러한 제거는 104단계에서 설명한대로 일 수 있다. 더불어, 게터링작용제 펄스(110)와 제거(112)는 상술한 원자층증착 공정의 제3주기(113)로 표현되고, 또한 게터링주기로 표현될 수도 있다.
일부 실시예들에서, 또한 게터링작용제는 박막에 성분을 남길 수 있다. 한 실시예에 따르면, 게터링작용제는 이미 흡착된 단일층과 반응하여 탄소화합물을 남긴다. 예를 들어, 트리에틸렌붕소 게터는 화텅스텐과 암모니아의 반응으로 부터 생성되는 질화텅스텐박막과 같이 성장하고 있는 막에 탄소를 남길 수 있다. 니트라이트 카바이드 금속(MNxCy) 막에서 질소 대 탄소(N/C)의 비율은 소스 화학물 펄스와 증착온도를 조절하여 맞출 수 있다.
본 실시예에서, 상술한 세가지 주기들은 번갈아질 수 있다. 따라서 세가지 주기들(105,109,113)은 합쳐서, 원자층증착 공정에서 금속 화합물 단일층들을 형성하기 위해 반복되는 하나의 원자층증착 사이클(115)으로 표현될 수 있다. 본 실시예에 따라 원자층증착 사이클이 금속주기로 시작하는 동안, 다른 실시예에서는 종-기여주기나 게터링주기로 사이클이 시작하는 것이 계획될 수 있다. 그러나, 게터링주기는 금속주기의 곧이어 다음에 오지 않는 것이 바람직하다.
다른 실시예에 따르면, 주기의 순서는 바뀐다. 예를 들어, 게터링주기가 원자층증착 사이클의 제1주기일 수 있다. 당업자는 제1반응물주기가 일반적으로 이전 공정의 제3주기에 의해 남겨진 결과물과 반응한다는 것을 알고 있을 것이다. 따라서, 아무런 반응물도 기판표면 미리 흡착되지 않거나 반응공간에 제공되지 않는 동안에 게터링주기가 처음 원자층 증착 사이클의 첫번째주기라면, 뒤따르는 사이클에서 게터링주기가 비금속종-기여주기의 뒤에 오는 것이 효과적일 것이다.
원자층증착사이클(115)는 원하는 기능을 행하기 위해 충분한 두께의 막을 생 성하기 위해 수없이 반복된다.
오직 세게의 반응물에 대해 도5에 도시되어 있지만, 다른 실시예들에서 추가의 화학물질들이 각 사이클에 포함될 수 있음은 물론이다. 예를 들어, 필요에 따라, 사이클(115)는 별도의 표면 작성을 포함하도록 연장될 수 있다. 더우기, 하나 이상의 부가적인 주기들이 각 사이클마다 수행될 수 있다. 예를 들어, 추가되는 성분들을 박막의 성장에 포함시키는 주기들이 포함될 수 있다.
나노적층물의 생성에서, 제1단일층이 증착된 후에, 시작 물질과, 펄스변수와, 사이클은, 그 다음 단일층의 주기가 다르고 주기 인터페이스가 어떤 두개의 막층 사이에서도 형성되기 때문에 변하는 것이 바람직하다. 예를 들어, 금속 소스 화학물은 세가지 주기의 사이클 반복 마다 교차되어, 금속화합물의 교차된 층을 생성할 수 있다.
바람직한 실시예에서, 제1반응물은 육불화텅스텐(WF6)을 포함하고, 제2반응물은 성장층에 질소를 기여하는 암모니아(NH3)을 포함하며, 제3반응물은 트리에틸렌붕소(TEB)를 포함한다.
소스 물질
일반적으로, 소스 물질(예, 금속 소스 물질, 하이드로젠-베어링 소스물질, 게터링작용제)은 원자층증착의증착에 영향을 주는 충분한 증기압, 기판 온도에서 충분한 열 안정성, 화합물의 충분한 반응성들을 제공하도록 선택되는 것이 바람직하다. "충분한 증기압"은 바람직한 비율로 표면에 자기포화 반응을 가능하게 하도 록 가스주기에서 충분한 소스 화학 분자들을 기판 표면에 공급한다. "충분한 열 안정성"은 스스로 소스 화학물이 표면에 성장방해 응축주기를 형성하지 않거나 열분해를 통하여 기판 표면에 치명적인 수준의 불순물들을 남기지 않는 것을 의미한다. 다른 말로, 온도는 선택된 반응 증기의 응축 한계보다 높고 열분해 한계보다 아래에서 유지된다. 한가지 목적은 기판 위에 제어되지 않는 분자들의 응축을 피하기 위한 것이다. "충분한 반응성"은 상업적으로 받아들일 만한 재료처리 시간동안 허용할 만큼 짧은 펄스에서의 자기포화에 기인한다. 그리고, 선택기준은 고순도에서의 화학물의 유용함과 화학물의 쉬운 처리이다.
1. 금속 소스 물질
질화천이금속층들과 같은, 금속박막들은 금속소스물질로부터 준비된다. 더욱 바람직하게, 금속박막들은 원소의 주기율표에서 3, 4, 5, 6, 7, 8, 9, 10, 11 및/또는 12족의 천이금속의 휘발성 혹은 기체상태의 화합물로부터 준비된다. 또한, 금속박막층들은 구리(Cu), 루테늄(Ru), 백금(Pt), 팔라듐(Pd), 은(Ag), 금(Au) 및/또는 요오드(Ir)를 포함하는 물질로 부터 만들어질 수 있다. 더욱 바람직하게는, 금속과 질화금속 소스 물질은 할로겐화천이금속을 포함한다.
본 실시예의 금속을 함유하고 있는 제1반응물은 증착하는 동안, 특히 제2반응물과 결합할 때 노출된 작업물의 표면을 부식시키는 종을 포함한다. 본 실시예에서, 제1반응물의 부식시키는 원하는 증착시키는 종을 옮기기 위한 증착휘발성 소스 가스를 제공한다는 점에서 유리하다. 더우기, 부식시키는 종은 제1펄스 동안 성장을 금지하는 리간드의 적어도 일부를 형성함으로써 자기제한 증착을 촉진시킨다.
특히, 바람직한 실시예의 제1반응물들은 할로겐화물, 더욱 바람직하게는 할로겐화금속, 더 더욱 바람직하게는 원소의 주기율 표에서 IV족{티탄(Ti), 지르코튬(Zr), 하프늄(Hf)}, V족{바나듐(V), 니오븀(Nb), 탄탈륨(Ta)}, VI족{크롬(Cr), 몰리브덴(Mo), 텅스텐(W)}으로부터 선택된 원소들을 포함하는 할로겐화천이금속을 포함한다. 천이금속들의 불화물, 염화물, 브롬화물, 요오드화물들이 바람직하게, 더욱 바람직하게는 특정금속에 의존하는 불화천이금속이 사용된다. 적절한 불화천이금속 소스 화학물의 예는 사불화티타늄(TiF4), 오불화바나듐(VF5), 오불화니오븀(NbF5), 오불화탄탈륨(TaF5), 오불화크롬(CrF5), 육불화몰리브덴(MoF 6), 오불화몰리브덴(MoF5), 육불화텅스텐(WF6)를 포함한다. 육불화텅스텐(WF6)은 니트라이드 카바이드 텅스텐(WNxCy)을 증착하기 위한 바람직한 텅스텐 소스 화학물이다.
앞서 언급한 바와 같이, 할로겐화금속은 휘발성이기 때문에 작업물까지 금속의 운반을 위해 훌륭한 운송수단이다. 더우기, 할로겐 테일즈는 더 반응하는 것을 금지하면서 화학흡착된 단일층의 표면을 종료한다. 따라서, 표면들은 균일한 필름성장을 촉진시키기 위해 자기포화된다.
바람직한 실시예와 이하의 예들에서, 할로겐화 소스 물질들 각각은 종래의 원자층증착 반응 동안 식각 혹은 부식을 유도하는 경향이 있는 할로겐화 금속을 포함한다. 예들 들어, 예들 1과 2 각각은 사염화티타늄(TiCl4) 혹은 육불화텅스텐(WF6)를 포함하는 원자층증착 공정에 노출로부터 구리의 부식을 말하고 있다.
낮은 원자가의 할로겐화금속은 적은 할로겐 원자들을 기여하여 높은 원자가의 할로겐화금속보다 민감한 표면을 덜 부식시킬 것이라 기대할 수 있다. 할로겐화금속 소스 화학물은 할로겐화 금속에서 금속의 원자가 혹의 산화 상태를 낮추기 위해 기판 공간의 환원 작용제 상류흐름 위로 이송될 수 있어, 할로겐화금속의 할로겐 함유를 환원시키고 기판 표면의 부식 가능성을 낮춘다. 기판 표면의 고체 혹은 액체의 환원 작용제의 상류흐름을 사용하는 방법은 출원중인 핀란드 특허출원 번호 19992235에 개시되어 있다.
2. 비금속종-기여반응물을 위한 소스금속들
종-기여반응물은 일반적으로 금속박막에서 요하는 산소, 질소, 탄소와 같은 종을 포함한다. 그리고, 종-기여화합물은 바람직하게 휘발성 혹은 기체이다. 질화금속 증착의 경우, 종-기여화합물은 질화금속 증착공정에 질소를 제공하는 것이 바람직하다. 질화금속 증착의 경우에, 예를 들어 암모니아는 휘발성이고 높은 반응성을 가지고, 제1반응물로 부터 흡착된 종과 빠른 반응을 촉진한다. 질화금속박막의 증착을 위해 종-기여반응물은 다음 그룹 중에서 선택되는 것이 바람직하다.
●암모니아(NH3);
●암모니아의 염, 바람직하게는 할로겐화물의 염, 특히 불화암모늄 혹은 염화암모늄;
●아지드화수소(HN3)와 CH3N3와 같은 상기 화합물의 알킬 유도체;
●히드라진(N2H4)과 히드라진 염산염과 같은 히드라진의 염;
●디메틸 히드라진과 같은 히드라진의 유기 유도체;
●삼염화질소(NF3);
●메틸아민, 디메틸아민, 트리메틸아민과 같은 제1차, 제2차, 제3차 아민들;
●NH2 *, NH**, N***와 같은 질소 라디칼, 단 "*"는 결합을 형성할 수 있는 자유전자를 의미한다;
●이외의 질소(N)을 포함하는 여기종.
이외에도, 종-기여반응물은 성장하고있는 박막에 탄소나 산소를 제공할 수 있다.
3. 게터링작용제를 위한 소스 물질
게터링작용제는 기판 표면 및/또는 반응 공간으로 부터 부식제나 그렇지 않으면 바람직하지 않은 종들을 청소할 수 있는 것이 바람직하다. 그리고, 게터링작용제는 탄소와 같은 종을 성장하고 있는 박막에 기여할 수 있다.
3.1 붕소 화합물
사용될 수 있는 붕소화합물의 한 계열은 보란(BxHy)이다.
바람직한 붕소화합물은 탄화수소 그룹들을 포함한다. 특히 바람직한 붕소화합물은 알킬보론화합물이다. 적절한 붕소화합물의 예는 트리메틸붕소, 트리에틸붕소(TEB), 트리비닐붕소, 트리이소프로필붕소, 트리이소부틸붕소, 제3부틸붕소를 포 함하나 이에 한정하지는 않는다. 이하의 예와 바람직한 실시예에서는 트리에틸붕소(TEB)가 사용되었다. 그러나, 게터링작용제가 TEB에 한정되지 않음과 본 발명의 사상 내에서 다른 붕소화합물의 사용이 가능함은 당업자에 의해 이해될 수 있을 것이다.
TEB로부터 가능한 반응생성물과 부식종들 중에서, 다음과 같은 것들이 게터링 효과를 위해 유리하다.
할로겐(예, 할로겐화금속, 할로겐화수소, 또는 할로겐화암모늄로부터의)과 TEB분자의 중심 붕소 원자의 반응에 의해 형성된 할로겐화붕소;
할로겐(예, 할로겐화금속, 할로겐화수소, 또는 할로겐화암모늄로부터의 )과 TEB분자의 에틸그룹의 반응에 의해 형성된 할로겐화에틸;
할로겐(예, 할로겐화수소분자로부터의)과 TEB분자의 에틸그룹의 반응에 의해 형성된 에탄.
적어도 하나의 붕소-탄소 결합을 가지는 휘발성 붕소화합물은 어떤 금속에 대해 더 바람직하고, 붕소와 화합한 탄화수소 그룹 또한 더욱 바람직하다.
3.2 규소 화합물
예를 들어 규소와 화합한 알킬그룹을 가지는 규소화합물은 게터링작용제로 쓰일 수 있다. 할로겐화수소분자를 사용하는 각 반응은 하나의 규소-탄소 결합을 소모한다. 따라서, 휘발성 규소화합물로부터 선택된 게터링작용제는 적어도 하나의 규소-탄소 결합을 가지는 것이 바람직하다.
3.3 게르마늄과 주석 화합물
예를 들어 알킬 주석 화합물 뿐만 아니라 게르마늄과 화합한 알킬그룹을 가지는 화합물은 할로겐화물, 할로겐, 할로겐화수소들을 게터링할 수 있다. 따라서 게터링작용제는 휘발성 게르마늄과 주석 화합물로부터 선택될 수 있다. 이러한 게터링작용제는 적어도 하나의 게르마늄-탄소 혹은 주석-탄소 결합을 가지는 것이 바람직하다.
3.4 알루미늄, 갈륨, 인듐 화합물
알킬 알루미늄, 갈륨, 인듐의 화합물은 게터링작용제로 사용될 수 있다. 그러나 이러한 트리메틸알루미늄(TMA)과 같은 화합물들의 사용은 표면에 탄소를 남길 수 있다. 따라서 이러한 화합물을 할로겐이나 할로겐화수소를 게터링하기 위해 사용하는 것은 탄소 증착이 필요하지 않을 때 원자층증착 공정의 매개변수를 주의 깊게 설정해야한다. 휘발성 알루미늄, 갈륨, 인듐 화합물로부터 게터링작용제는 적어도 하나의 알루미늄-탄소, 갈륨-탄소 혹은 인듐-탄소 결합을 가지는 것이 바람직하다.
3.5 탄소 화합물
탄소 화합물의 경우, 이중 또는 삼중으로 결합된 탄소가 분자에 제공될 때 할로겐화수소를 화합하는 것이 가능하다. 휘발성 탄소 화합물로부터 선택된 게터링작용제에 있어, 화합물은 탄소원자들 간에 적어도 하나의 이중 또는 삼중 결합을 가지는 것이 바람직하다.
3.6 질소 화합물
질소 화합물이 게터링작용제로서 사용될 수는 있지만, 바람직하지는 않다. 문제는 일반적으로 할로겐화질소가 열적으로 불안정하다는 것이다. 어떤 할로겐화질소를 형성하는 알킬질소와 할로겐화수소 화합물 간의 반응들은 아마도 유망하지 못하다. 그러나 알킬아민으로부터 염화알킬의 형성은 이론적으로 가능하다. 휘발성 아민으로부터 선택된 게터링작용제는 할로겐화된 탄소화합물의 형성을 이끄는 아민과 할로겐-베어링종(예, 할로겐화수소, 할로겐화암모늄, 자유할로겐)의 반응을 위한 깁스의 자유에너지 값이 음이거나 거의 영에 가깝다.
어떤 아민들은 암모니아(NH3)보다 강한 염기이다. 이러한 아민들은 산성 할로겐화수소분자와 함께 파괴없이 염과같은 화합물을 형성할 수 있다. 이러한 결합은 어떤 부식도 일어나기 전에 구리금속 표면으로부터 할로겐화수소를 제거하는 것을 향상시킨다. 휘발성 아민으로부터 선택된 케터링작용제는 할로겐화수소로 충분히 안정적인 염을 형성하거나 휘발성 아민-하이드로클로라이드 염을 형성하도록 이끄는 휘발성 아민과 할로겐화수소의 결합을 위한 깁스의 자유에너지 값이 음이거나 영에 가까운 것이 바람직하다.
3.7 인산 화합물
할로겐화인광물은 매우 안정적이어서 유기인산화합물은 게터링작용제로 사용될 수 있다. 인화금속의 형성은 치열한 반응이기 때문에 응용에 따르면 인산 화합물은 케터링 작용제로 적합하지 않을 수 있다. 인산화합물로부터 선택되는 게터링작용제는 적어도 하나의 인-탄소 결합을 가지는 것이 바람직하다.
3.8 아연 화합물
알킬 아연화합물은 상업적으로 유용하다. 현재, 아연은 집적회로의 최첨단 공정흐름에 대해 융화적이지 못하다. 그러나, 아연노출이 적합한 환경에서, 아연화합물로부터 선택된 게터링작용제는 적어도 하나의 아연-탄소 결합을 가지는 것이 바람직하다.
3.9 철과 납 화합물
유기철과 유기납 화합물은 휘발성 할로겐화금속을 형성한다. 철 또는 납 화합물로 부터 선택된 게터링작용제는 적어도 하나의 철-탄소 혹은 납-탄소 결합을 가지는 것이 바람직하다.
3.10 메탈로센 화합물
게터링작용제는 휘발성 할로겐화금속을 형성할 수 있는 금속인, 페로센(ferrocene), 디사이클로펜타디에닐아이언 (dicyclopentadienyliron)과 같은 휘발성 메탈로센, 또는 1,1' 디(트리에틸시릴)페로센과 같은 메탈로센의 휘발성 유도체로부터 선택될 수 있다.
3.11 붕소-규소 화합물
게터링작용제는 트리스(트리메틸실리)보란과 같은 바람직하게 적어도 하나의 붕소-규소 결합을 가지는 휘발성 붕소-규소 화합물로 부터 선택될 수 있다. 규소와 붕소 둘다 휘발성 할로겐화물을 형성할 수 있다.
3.12 금속카르보닐 화합물
바람직하게 휘발성 할로겐화금속을 형성할수 있는 한, 휘발성 금속카르보닐들 혹은 사이클로핵사디에네이론 트리카르보닐(cyclohexadieneiron tricarbonyl)과 같은 금속카르보닐들의 휘발성 유도체로부터 게터링작용제가 선택될 수 있다.
3.13 유기 게터링작용제를 위한 일반적인 반응식
일반식 E(-CL3)mGn의 휘발성 화합물들은 게터링작용제로서 사용될 수 있다. "E"는 주기율표의 원소이고; "L"은 탄소"C"에 화합된 분자이며; "X"는 할로겐이고; "G"는 "E"에 결합된 지정하지 않은 분자나 원자이며; "m"과 "n"은 정수이다. 단, "m"과 "n"의 합은 "E"의 원자가에 따라 변한다. 그리고, E와 C는 화학적으로 결합된다.
E(-CL3)mGn의 식을 가지는 게터링작용제는 할로겐이나 수소와 결합할 수 있고, 부식하지 않는 휘발성 할로겐화합물을 형성하기 위한 할로겐화수소나 할로겐화암모늄을 분리할 수 있도록 선택되는 것이 바람직하다.
3.14 시레인, 보란, 게르마늄 화합물
시레인(SixHy)과 보란(BmHn)이 게터링작용제로서 사용될 수 있다. 단 x,y,m,n은 양의 정수이다.
할로겐화암모늄은 시레인과 보란과 반응하지만, 또한 질화규소 또는 질화붕소를 형성하면서 질화천이금속의 성장을 방해할 수 있다. 할로겐화암모늄의 반응성은 가열되면 암모니아(NH3)와 할로겐화수소로 분리되기 시작한다는 잘 알려진 사실에 근거한다.
할로겐화암모늄 분자들(예, NH4F, NH4CL, NH4Br, NH4I)이 반응실 표면에 제공 된다고 믿어질 때, 비휘발성 질화규소 또는 질화붕소의 형성을 방지하기 위해 가능한한 시레인과 보란을 거의 사용하지 않는 것이 바람직하다. 할로겐화수소 분자들(HF, HCL, HBr, HI)이 반응실 표면에 제공되었을 때, 시레인과 보란의 투입은 산성 할로겐화수소가 할로겐화규소나 할로겐화붕소를 형성하도록 조절되는 것이 바람직하지만, 실제로는 질화금속 표면에 결합하여 금속, 질화금속, 탄화금속 혹은 니트라이드 카바이드 금속의 성장을 방해할 수 있는 잉여의 시레인이나 보란 분자들이 없다.
게르만들(GerHt, 단 r과 t는 양의 정수)은 휘발성 할로겐화게르마늄을, 특히 할로겐화 수소로 형성할 수 있어, 게터링작용제로 사용될 수 있다.
당업자는 더불어 순수 규소-수소, 붕소-수소, 게르마늄-수소 화합물, 많은 유사 화합물이 게터링작용제로서 유용할 수 있음을 알 것이다. 시레인들(SixHy), 보란들(BmHn), 게르만들(GerHt)에서, 수소원자들은 할로겐원자로 하나씩 대체될 수 있다. 예를 들어, SiH4 -----> SiH3F -----> SiH2F2 ------>SiHF 3. 또한, SiH2FCl과 같은 다양한 성분의 할로겐화합물도 가능하다. 바람직하게, 이러한 화합물들이 게터링작용제로 도움이 된다면, 규소, 붕소 또는 게르마늄과 화합하는 적어도 하나의 수소원자가 있다.
일반적인 규칙으로, 시레인들, 보란들, 게르만들로 부터 선택된 게터링작용제는 적어도 하나의 규소, 붕소 또는 게르마늄과 화합하는 적어도 하나의 수소원자가 있는 것이 바람직하다.
4. 소스물질을 고려한 선택기준
금속 부식은 다음 물질들 간의 깁스의 자유에너지(Gf)가 음이거나 영에 가까울 때 예상된다.
●할로겐화금속과 금속;
●할로겐화수소와 금속; 혹은
●할로겐화암모늄과 금속.
여기서 금속은 반응시 민감한표면을 나타내고 할로겐화수소 및/또는 할로겐화암모늄은 교면반응의 부산물로서 형성된다.
규소화합물(예, 산화규소나 질화규소)의 부식은 다음 물질들 간의 깁스의 자유에너지(Gf)가 음이거나 영에 가까울 때 표면에서 예상된다.
●할로겐화수소와 규소화합물;
●할로겐화암모늄고ㅘ 규소화합물.
여기서 규소화합물은 반응시 민감한표면을 나타내고, 할로겐화수소 및/또는 할로겐화암모늄은 표면반응의 부산물로서 형성된다.
이론적으로 부식이 가능하다고 계산되면, 게터링작용제를 사용하는 것이 바람직하다. 게터링작용제는 부식되는 분자들과 결합하여 민감한표면의 부식을 방지한다.
유리한 게터링작용제의 선택은 분자시뮬레이션에 기초할 수 있다. 대표적인 시뮬레이션 프로그램은 미국 플로리다의 하이퍼큐브(Hypercube) 주식회사로부터 상 업적으로 유용한 하이퍼캠 4.5( HyperChem release 4.5)이다. 이 프로그램은 게터분자 후보들의 물리적인 외형과 정전기적 전위도형을 시각화하고, 트리에틸붕소와 같은 분자들이 부식되는 분자와 반응하기 위한 수용할 만한 영역을 가지는지를 추정하도록 돕는다. 분자들과 표면들 간의 반응에 대한 시뮬레이션은 더욱 북잡한 소프트웨어를 요구한다. 미국의 분자시뮬레이션 주식회사(MSI)로부터 상업적으로 유용한 세리우스(Cerius2)는 화학반응의 결과를 예언할 수 있는 프로그램의 예이다.
바람직한 실시예를 실행하는데 있어서, 반응공간의 조건들은 응축물질의 형성을 이끌 수 있는 기체주기반응을 최소화하도록 계획된다. 따라서, 반응물의 화학적인 경로는 반응공간에 들어갈 때까지 분리유지된다. 표면에 흡착된 종과 기체 반응물 간의 반응은 자기포화된다. 부산물들과 기체게터 간의 반응은 휘발성 화학적 화합물을 형성한다.
증착은 넓은 범위의 압력조건에서 실행될 수 있지만, 환원압력에서 공정이 작동되는 것이 바람직하다. 반응로의 압력은 대략 0.01mbar에서 50mbar 사이에서 유지되는 것이 바람직하고, 더욱 바람직하게는 0.1mbar에서 10mbar사이 이다.
기판 온도는 기판 아래에서 박막원자들 간의 결합이 고스란히 유지되고 기체상태으 소스 화학물질이 열분해되지 않을 정도로 낮게 유지된다. 한편, 기판 온도는 표면반응을 위한 활성화에너지를 제공하고, 소스물질의 물리흡착을 방지하며, 반응공간에서 기체상태의 반응물이 응축되는 것을 최소화할 정도로 유지된다. 반응 물에 따라서, 기판의 온도는 전형적으로 대략 100℃에서 700℃이고, 바람직하게는 대략 250℃에서 400℃이다. 특별한 실시예로, 니트라이드 카바이드 텅스텐은 기판의 온도가 대략 275℃에서 350℃일 때, 더욱 바람직하게는 대략 300℃에서 325℃일 때 증착되는 것이 바람직하다.
소스온도는 기판온도 이하로 설정되는 것이 바람직하다. 이는 소스의 화학적증기의 부분적인 압력이 기판온도에서의 응축한계를 초과한다면, 박막의 조절된 층층의 성장이 손상된다는 사실에 기인하고 있다.
성장반응들이 자기포화 표면반응에 기인함에 따라, 펄스의 상한과 정화시간을 엄격히 설정할 필요가 없다. 펄스사이클을 위한 시간의 양은, 반응로로부터 원하는 생산처리량과 같은 경제적인 요인들에 의해 대부분 제한된다. 매우 얇은 막 층은 비교적 적은 펄스 사이클에 의해서 형성될 수 있고, 일부 경우에 이는 낮은 증기압의 소스 물질을 비교적 긴 펄스 시간에 사용해도 된다.
예1: TiCl 4 와 NH 3 로 부터 TiN의 증착
물리증기증착(PVD) 구리로 코팅된 200nm의 실리콘웨이퍼가 핀란드의 에스뿌(Espoo) 오와이로부터 상업적으로 유용한 에이에스엠 마이크로케미스트리 펄사2000TM 원자층증착 반응로에 놓여졌다. 기판은 질소대기에서 400℃로 가열되었다. 반응로의 압력은 질소라인의 대량흐름제어기와 진공펌프에 의하여 대략 5mbar로 조절되었다. 다음에, 불활성질소기체에 의해 분리된 TiCl4와 NH3의 연속적인 펼스로 부터 원자층증착에 의해 TiNx 층이 성장된다.
한 증착사이클은 다음의 단계를 포함한다.
●0.05초 동안 TiCl4 펄스
●1.0초 동안 N2 정화
●0.75초 동안 NH3 펄스
●1.0초 동안 N2 정화
이러한 사이클이 대략 5nm의 TiNx 막을 형성하기 위해 300번 반복되었다. TiNx 막의 성장률은 대략 0.17Å/cycle이었다. 그리고나서, 웨이퍼는 분석을 위해 반응로로부터 꺼내졌다. 4포인트 시험과 에너지 분산 스펙트로스코피(EDS)측정이 150μΩcm를 주었다.
6TiCl4(g)+8NH3(g) --> 6TiN(g)+24HCl(g)+N2(g), Gf(400℃)=-1.9kJ [R1]
식 R1은 반응의 간략한 표현이다. 표면에서 TiCl4 분자들을 공격하는 -NH와 =NH와 같은 수용영역이 있다고 가정된다. TiCl4 펄스 이후에, 아마도 표면에서 다음 펄스의 NHl3 와 반응할 수 있는 -TiCl3 와 =TiCl2 그룹이 있을 것이다.
식 R1의 이러한 이론적인 결과는 구리표면 위의 균일한 두께의 TiNx 막이다. 그러나, 도2는 구리막의 얼룩 부식이 있음을 보여준다. 부식은 질화물 성장(R1)에서 부산물로서 형성되는 염산(HCl)이 구리와 반응할 때 시작된다. 염산이 잉여의 암모니아(NH3)와 쉽게 반응함여 염화암모늄(NH4Cl)을 형성함에 따라, 염화암모늄이 산화구리의 가스주기 운반자로서 작용함은 물론 가능하다.
예2: WF 6 와 NH 3 로 부터 WN x 의 증착
물리증기증착(PVD) 구리로 코팅된 200nm의 실리콘웨이퍼가 펄사2000TM 원자층증착 반응로에 놓여졌다. 기판은 질소대기에서 400℃로 가열되었다. 반응로의 압력은 질소라인의 대량흐름제어기와 진공펌프에 의하여 대략 5mbar로 조절되었다. 다음에, 불활성질소기체에 의해 분리된 WF6와 NH3의 연속적인 펼스로부터 원자층증착에 의해 WNx 층이 성장된다.
한 증착사이클은 다음의 단계를 포함한다.
●0.25초 동안 WF6 펄스
●1.0초 동안 N2 정화
●0.75초 동안 NH3 펄스
●1.0초 동안 N2 정화
이러한 사이클이 대략 5nm의 WNx 막을 형성하기 위해 70번 반복되었다. WFx 막의 성장률은 대략 0.6Å/cycle이었다. 그리고나서, 웨이퍼는 분석을 위해 반응로로부터 꺼내졌다.
구리막에 대한 식각손상은 질소화물의 공정 때문에 광학현미경으로도 볼수 있었다. 많은 HF는 공정(R2)로부터 증착된 화합물에 비례하여 방출되었다. HF는 공정(R3)에서 구리표면을 공격할 수 있다. 구리의 부식은 불화구리의 증기압이 기판온도에서 낮기 때문에 기대되지 않았다. 그러나, HF는 또한 암모니아펄스 동안에 잉여의 암모니아(NH3)와 쉽게 반응하여 불화암모늄(NH4F)을 형성한다. 따라서, 불화암모늄(NH4F)이 불화구리(CuF)의 증기주기 운반자로서 작용함은 물론 가능하다.
2WF6(g)+4NH3(g) --> 2WN+12HF(g)+N2(g), [R2]
Cu+HF(g) -------> CuF+2H2(g) [R3]
예3: SiO 2 위에 WN x 의 증착
질화텅스텐박막이 원자층증착에 의해 불활성 질소가스 펄스에 의해 분리되는 WF6, TEB, NH3의 연속적인 펄스로부터 성장된다.
한 증착사이클은 다음의 단계를 포함한다.
●0.25초 동안 WF6 펄스
●1.0초 동안 N2 정화
●0.05초 동안 TEB 펄스
●1.0초 동안 N2 정화
●0.75초 동안 NH3 펄스
●1.0초 동안 N2 정화
기판 위의 결과막은 기판에 대해 상당히 큰 저항변동을 가진다.
예4: SiO 2 위에 WN x C y 의 증착
한 실시예에서, WNxCy는 SiO2 위의 표면에 300℃에서 WF6, NH 3, TEB,의 순서대로 번갈아지는 펄스를 포함하는 원자층증착에 의해서 증착되었다.
증착된 막은 낮은 저항을 가졌고 보기에 좋았다. 사전 연구에서, 기판위의 구리의 표면에 얼룩이 하나도 없음이 관찰되었다.
니트라이드 카바이드 텅스텐의 몇 몇 추가의 증착 실험들이 만들어졌다.
200nm의 실리콘웨이퍼가 원자층화학증기증착TM 공정에 최적인 펄사®2000 반응로에 놓여졌다. 실험에 따라, 증착사이클은 0.1에서 0.3초 동안 지속되는 WF6펄스와, 0.1에서 0.3초 동안 지속되는 NH3펄스와, 0.3에서 0.8초 동안 지속되는 TEB 펄스로 이루어진다. 소스 화학 펄스는 0.5에서 2.0초까지 지속되는 불활성 가스흐름 주기에 의해 서로 구분된다. 증착사이클의 수는 실험에 따라 10, 20, 25, 30, 50 가지이다. 증착온도는 실험에 따라 대략 225℃에서 400℃의 온도 범위에서 선택된다.
WNxCy의 전형적인 성장률은 대략 0.8Å/cycle이다. 기판온도가 대략 275℃에서 300℃의 온도 범위에 있을 때에 좋은 증착결과를 얻을 수 있음이 관찰되었다. 기판온도가 대략 300℃에서 325℃의 온도 범위에 있을 때에 매우 좋은 증착결과를 얻었다.
저에너지이온산란(LEIS) 측정은 필름이 지속적인 20번의 증착 사이클이 대략 1.6nm의 WNxCy에 대응하는 막을 형성함을 알려주었다. 원자력현미경(AFM)과 주사전자미경(SEM)에 의한 SiO2 표면 위의 WNxCy의 상은 막이 임의로 정렬된 나노결정구조로 평탄화 되었음을 보여주고 있다. WNxCy의 박막이 무정형의 질화텅스텐 매트릭스에서 나노결정탄화텅스텐으로 구성되는것이 가능하다. SiO2 표면 위에는 부식의 얼룩이나 흔적이 없었다.
예5: 구리금속에 게터링작용제와 함께 WN x C y 의 증착
물리증기증착(PVD) 구리로 코팅된 200nm의 실리콘웨이퍼가 펄사2000TM 원자층증착 반응로에 놓여졌다. 기판은 질소대기에서 300℃로 가열되었다. 반응로의 압력은 질소라인의 대량흐름제어기와 진공펌프에 의하여 대략 5mbar로 조절되었다. 질화텅스텐박막이 원자층증착에 의해 불활성 질소가스 펄스에 의해 분리되는 WF6, TEB, NH3의 연속적인 펄스로부터 성장된다.
한 증착사이클은 다음의 단계를 포함한다.
●0.25초 동안 WF6 펄스
●1.0초 동안 N2 정화
●0.75초 동안 NH3 펄스
●1.0초 동안 N2 정화
●0.05초 동안 TEB 펄스
●1.0초 동안 N2 정화
이러한 사이클은 대략 원하는 두께의 WNxCy막을 형성하도록 반복된다.
표면에 구리막을 가지는 기판이 예4의 결과와 비슷하게 얻어졌다. 이 증착공정은 구리와 융화될 수 있고, 구리에 얼룩이 발견되지 않았다. WNxCy는 막의 두께가 대략 2.7nm만큼 작을 때(30번의 증착사이클)라도 훌륭한 확산장벽층을 보여주었다.
전형적으로, 대략 WNxCy 시료에는 대략 55%의 텅스텐과, 대략 25~30%의 탄소(아마 탄화물의 형태로)와, 대략 15~20%의 질소(아마 질화물의 형태로)가 있다.
도6A 내지 6D는 질화티타늄박막이 증착되기 앞서 구리표면에 WNC층을 증착한 결과를 보여주는 주사전자현미경(SEM) 사진이다. 대략 25번의 증착사이클에 대해, 대략 2nm의 니트라이드 카바이드 텅스텐(WNC)를 형성하는 것은 질화티타늄(TiN)의 연속적인 원자층증착 성장에 사용되는 부식성의 테트라클로라이드티타늄(TiCl4)과 암모니아(NH3) 구리표면을 완벽히 보호한다.
예6: 구리금속에 게터링작용제와 함께 WN x C y 의 증착
물리증기증착(PVD) 구리로 코팅된 200nm의 실리콘웨이퍼가 펄사2000TM 원자층증착 반응로에 놓여졌다. 기판은 질소대기에서 300℃로 가열되었다. 반응로의 압력은 질소라인의 대량흐름제어기와 진공펌프에 의하여 대략 5mbar로 조절되었다. 질화텅스텐박막이 원자층증착에 의해 불활성 질소가스 펄스에 의해 분리되는 WF6, TEB, NH3의 연속적인 펄스로부터 성장된다.
한 증착사이클은 다음의 단계를 포함한다.
●0.05초 동안 TEB 펄스
●1.0초 동안 N2 정화
●0.25초 동안 WF6 펄스
●1.0초 동안 N2 정화
●0.75초 동안 NH3 펄스
●1.0초 동안 N2 정화
이러한 사이클은 대략 원하는 두께의 WNxCy막을 형성하도록 반복된다. 결과는 예5의 것과 비슷하다.
예7: 구리금속에 게터링작용제와 함께 WN x C y 의 증착
물리증기증착(PVD) 구리로 코팅된 200nm의 실리콘웨이퍼가 펄사2000TM 원자층증착 반응로에 놓여졌다. 기판은 질소대기에서 300℃로 가열되었다. 반응로의 압 력은 질소라인의 대량흐름제어기와 진공펌프에 의하여 대략 5mbar로 조절되었다. 질화텅스텐박막이 원자층증착에 의해 불활성 질소가스 펄스에 의해 분리되는 WF6, TEB, NH3의 연속적인 펄스로부터 성장된다.
한 증착사이클은 다음의 단계를 포함한다.
●0.75초 동안 NH3 펄스
●1.0초 동안 N2 정화
●0.05초 동안 TEB 펄스
●1.0초 동안 N2 정화
●0.25초 동안 WF6 펄스
●1.0초 동안 N2 정화
이러한 사이클은 대략 원하는 두께의 WNxCy막을 형성하도록 반복된다. 결과는 예5의 것과 비슷하다.
예8: 게터링작용제와 함께 WN x C y /TiN x C y 나노적층물의 증착
두개의 서로 다른 타입의 200nm의 웨이퍼가 실험에 사용되었다. 한 웨이퍼는 물리증기증착(PVD) 구리로 코팅되었고 다른 한 웨이퍼는 전기도금(ECD)에 의한 구리막을 가지고 있다. 구리로 코팅된 200nm의 실리콘웨이퍼들이 펄사2000TM 원자층증착 반응로에 하나씩 놓여졌다. 기판은 질소대기에서 300℃로 가열되었다. 반응로의 압력은 질소라인의 대량흐름제어기와 진공펌프에 의하여 대략 5mbar로 조절되었다.
처음, WNxCy층은 원자층증착에 의해 불활성 질소가스 펄스에 의해 분리되는 WF6, TEB, NH3의 연속적인 펄스로부터 성장된다.
한 증착사이클은 다음의 단계를 포함한다.
●0.25초 동안 WF6 펄스
●1.0초 동안 N2 정화
●0.75초 동안 NH3 펄스
●1.0초 동안 N2 정화
●0.05초 동안 TEB 펄스
●1.0초 동안 N2 정화
이러한 증착 사이클은 대략 원하는 두께의 WNxCy막을 형성하도록 반복된다.
다음으로, TiNxCy(여기서 y는 작거나 0이다)층은 원자층증착에 의해 불활성 질소가스 펄스에 의해 분리되는 TiCl4, NH3, TEB의 연속적인 펄스로부터 WNxC y막 위에 성장된다.
한 증착사이클은 다음의 단계를 포함한다.
●0.05초 동안 TiCl4 펄스
●1.0초 동안 N2 정화
●0.75초 동안 NH3 펄스
●1.0초 동안 N2 정화
●0.05초 동안 TEB 펄스
●1.0초 동안 N2 정화
이러한 증착 사이클은 대략 원하는 두께의 TiNxCy막을 WNxCy막 위에 형성하도록 반복된다. 같은 증착 프로그램이 두가지 타입의 구리코팅실리콘 둘다에 사용된다.
예9: 구리금속에 게터링작용제와 함께 TiN x C y 의 증착
물리증기증착(PVD) 구리로 코팅된 200nm의 실리콘웨이퍼가 펄사2000TM 원자층증착 반응로에 놓여졌다. 기판은 질소대기에서 300℃~400℃로 가열되었다. 반응로의 압력은 질소라인의 대량흐름제어기와 진공펌프에 의하여 대략 5mbar로 조절되었다. 니트라이드 카바이드 티타늄 층이 원자층증착에 의해 불활성 질소가스 펄스에 의해 분리되는 TiCl4, NH3, TEB의 연속적인 펄스로부터 성장된다.
한 증착사이클은 다음의 단계를 포함한다.
●0.05초 동안 TiCl4 펄스
●1.0초 동안 N2 정화
●0.75초 동안 NH3 펄스
●1.0초 동안 N2 정화
●0.05초 동안 TEB 펄스
●1.0초 동안 N2 정화
이러한 사이클은 TiNxCy막을 형성하도록 반복된다(여기서 y는 작거나 0이다).
예10: 나노적층물 구조의 증착
실리콘기판이 핀란드의 에스뿌(Espoo) 오와이로부터 상업적으로 유용한 에이에스엠 마이크로케미스트리 F-2000TM 원자층증착 반응로에 놓여졌다. 반응로의 압력은 질소흐름과 진공펌프에 의하여 완전히 5mbar에서 균형을 이루었다. 기판은 360℃로 가열되었다. 처음에, 질화티타늄막은 연속적인 펄스에 의해 기판에서 성장한다. 불활성 질소 가스가 테트라클로라이드 티타늄 증기를 반응실로 옮긴다. 잉여의 TiCl4와 반응 부산물들은 질소가스에 의하여 정화된다. 정화된 후, 질소 가스는 암모니아 증기를 반응실로 옮긴다. 잉여 NH3와 반응부산물은 질소가스로 정화된다. 정화된 후, 질소가스는 TEB 증기를 반응실로 옮긴다. 잉여의 TEB와 반응부산물은 질소가스에 의해 정화된다.
●0.05초 동안 TiCl4 펄스
●1.0초 동안 N2 정화
●0.75초 동안 NH3 펄스
●1.0초 동안 N2 정화
●0.05초 동안 TEB 펄스
●1.0초 동안 N2 정화
니트라이드 카바이드 텅스텐 박막은 니트라이드 카바이드 티타늄 막의 위에서 다른 연속적인 펄스를 반복함으로써 성장한다.
●0.25초 동안 WF6 펄스
●1.0초 동안 N2 정화
●0.75초 동안 NH3 펄스
●1.0초 동안 N2 정화
●0.05초 동안 TEB 펄스
●1.0초 동안 N2 정화
이러한 공정은 니트라이드 카바이드 티타늄과 니트라이드 카바이드 텅스텐의 박막층을 번갈아 증착함으로써 계속된다. 니트라이드 카바이드 박막층들은 원하는 두께에 도달할때까지 증착된다. 막은 빛을 반사하는 거울처럼 어둡게 나타난다. 색깔은 티타늄이나 질화텅스텐 중 어느 것과도 다르게 약간 불그스레하다.
예11: 게터링작용제와 함께 WN x C y 의 증착의 증착
기판이 반응공간에 놓여진다. 니트라이드 카바이드 텅스텐 박막은 원자층증착에 의해 불활성 질소가스 펄스에 의해 분리되는 WF6, NH3, TEB 의 연속적인 펄스로부터 성장된다.
한 증착사이클은 다음의 단계를 포함한다.
●0.25초 동안 WF6 펄스
●1.0초 동안 N2 정화
●0.75초 동안 NH3 펄스
●1.0초 동안 N2 정화
●0.05초 동안 TEB 펄스
●1.0초 동안 N2 정화
●0.75초 동안 NH3 펄스
●1.0초 동안 N2 정화
이러한 사이클은 대략 원하는 두께의 WNxCy막을 형성하도록 반복된다.
상술한 발명이 특정 바람직한 실시예에서 서술되었으나, 여기 서술된 관점안에서 다른 실시예도 가능함이 보통의 당업자들에게 명백할 것이다. 따라서, 본 발명은 바람직한 실시예에 언급한 바에 한정되지 않고, 첨부된 청구항에 의해서 한정 될 것이다.
여기에 서술한 원자층증착 사이클은 이미 알려진 원자층증착 사이클 위에 중요한 장점을 가진다. 게터링작용제의 펄스가 금속주기의 바로 뒤에 오지 않도록 확실히 함으로써, 할로겐화금속 다음에 게터링작용제가 왔을 때보다 더 좋은 질의 금속박막들이 형성된다. 특히, WF6, TEB, NH3의 차례대로 연속적인 펄스에 의해 형성된 금속박막들이 모호하고, 나쁜 접착을 가지고, 가루가 되기 쉬운 반면, WF6, NH3, TEB의 차례대로 연속적인 펄스에 의해 형성된 금속박막들은 보기에 좋고 좋은 접착력을 가진다.

Claims (58)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 반응공간 내에서 할로겐화물(halide)의 공격에 민감한 표면을 가지는 기판 위에 박막을 증착하는 방법에 있어서,
    복수의 증착 사이클에서 반응물들의 펄스를 교대로 제공하는 단계를 포함하며, 각 사이클은,
    상기 반응공간에 할로겐화물로 종결된 제1반응물이 공급되어, 상기 제1반응물이 상기 기판의 표면 위에 화학 흡착되는 제1단계;
    상기 반응공간에 제2반응물이 공급되어, 상기 제2반응물이 화학흡착된 상기 제1반응물과 반응하는 제2단계; 및
    상기 제1 반응물, 상기 제2 반응물, 또는 이들 모두로부터 유래된 할로겐화물을 제거(getter)할 수 있는 제3반응물이 상기 반응공간에 공급되는 제3단계;를 포함하며,
    상기 제3단계는 상기 제1단계 바로 다음에 일어나지 않고,
    상기 박막은 WNxCy 박막이며,
    각 사이클은,
    상기 제1반응물로서 육불화텅스텐(WF6)을 공급하는 단계;
    상기 제2반응물로서 암모니아(NH3)를 공급하는 단계; 및
    상기 제3반응물로서 트리에틸붕소(TEB)를 공급하는 단계를 포함하고,
    잉여 반응물, 반응 부산물들, 또는 이들 모두가 다음 반응물을 공급하기 전에 상기 반응공간으로부터 제거되고, 상기 TEB는 상기 WF6 다음에 공급되는 반응물이 아닌 것을 특징으로 하는 방법.
  24. 제23항에 있어서,
    상기 기판은 유전체 표면(dielectric surface)을 포함하는 것을 특징으로 하는 방법.
  25. 제23항에 있어서,
    상기 WNxCy 박막은 55 원자%(at.%)의 텅스텐과, 25 내지 30 원자%의 탄소와, 15 내지 20 원자%의 질소를 포함하는 것을 특징으로 하는 방법.
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 집적회로를 제조하기 위한 방법에 있어서,
    기판 위의 절연 물질내의 트렌치(trench)들을 포함하고 상기 트렌치는 상기 기판 상의 금속층을 노출하는 상감 구조(damascene structure)를 형성하는 단계;
    반응 챔버 내에 상기 기판을 배치하는 단계;
    제23항의 방법에 의해 박막을 증착시키는 단계;를 포함하고,
    상기 박막은 니트라이드 카바이드 금속 확산장벽(metal nitride carbide diffusion barrier)인 것을 특징으로 하는 방법.
  32. 제31항에 있어서,
    상기 니트라이드 카바이드 금속 확산장벽 위에 금속을 증착하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  33. 제31항에 있어서,
    상기 트렌치에 의하여 노출된 금속층은 노출된 금속 산화물을 포함하고,
    상기 노출된 금속 산화물은 상기 니트라이드 카바이드 금속 확산장벽을 증착하기 전에 환원되는 것을 특징으로 하는 방법.
  34. 제33항에 있어서,
    상기 노출된 금속 산화물은 알코올, 알데히드(aldehyde), 카르복실산(carboxylic acid)으로 이루어진 군에서 선택된 화합물을 사용하여 환원되는 것을 특징으로 하는 방법.
  35. 제31항에 있어서,
    상기 트렌치에 의하여 노출된 금속층은 노출된 금속 산화물을 포함하고,
    상기 노출된 금속 산화물의 환원 후에 암모니아로 상기 기판 표면을 처리하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  36. 제31항에 있어서,
    상기 반응 챔버 내에 상기 기판을 배치하는 단계를 수행한 후에,
    상기 니트라이드 카바이드 금속 확산장벽을 증착하기 전에, 상기 기판 위에 제1 금속을 증착하는 단계를 더 포함하고,
    상기 니트라이드 카바이드 금속 확산장벽을 증착한 후에 상기 제1 금속으로부터 형성된 산화금속을 금속으로 환원시키는 단계; 및
    그리고 상기 니트라이드 카바이드 금속 확산 장벽 위에 제2 금속을 증착하는 단계;를 더 포함하는 것을 특징으로 하는 방법.
  37. 제36항에 있어서,
    상기 증착된 산화금속은, 알코올, 알데히드, 카르복실산으로 이루어진 군에서 선택된 화합물에 노출됨으로써 환원되는 것을 특징으로 하는 방법.
  38. 제31항에 있어서,
    상기 니트라이드 카바이드 금속 확산 장벽 위에 금속을 증착하기 전에, 종자층(seed layer)을 증착하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  39. 삭제
  40. 제32항에 있어서,
    상기 니트라이드 카바이드 금속 확산 장벽 위에 증착된 금속은 구리인 것을 특징으로 하는 방법.
  41. 제40항에 있어서,
    무전해도금(electroless plating), 전기화학 증착(electrochemical deposition), 화학기상증착(chemical vapor deposition), 촉매강화화학기상증착(catalytically enhanced chemical vapor deposition)으로 이루어진 군에서 선택된 방법에 의해, 상기 구리가 증착되는 것을 특징으로 하는 방법.
  42. 제31항에 있어서,
    상기 반응 챔버는 클러스터 도구(cluster tool)의 일부인 것을 특징으로 하는 방법.
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
KR1020047003835A 2001-09-14 2002-09-10 환원펄스를 이용한 원자층증착에 의한 질화금속증착 KR101013231B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US32238501P 2001-09-14 2001-09-14
US60/322,385 2001-09-14
PCT/US2002/029032 WO2003025243A2 (en) 2001-09-14 2002-09-10 Metal nitride deposition by ald using gettering reactant

Publications (2)

Publication Number Publication Date
KR20040044931A KR20040044931A (ko) 2004-05-31
KR101013231B1 true KR101013231B1 (ko) 2011-02-10

Family

ID=23254651

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047003835A KR101013231B1 (ko) 2001-09-14 2002-09-10 환원펄스를 이용한 원자층증착에 의한 질화금속증착

Country Status (7)

Country Link
US (2) US6986914B2 (ko)
EP (1) EP1425435A2 (ko)
JP (1) JP4938962B2 (ko)
KR (1) KR101013231B1 (ko)
AU (1) AU2002333601A1 (ko)
TW (1) TW559890B (ko)
WO (1) WO2003025243A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160145165A (ko) * 2014-04-17 2016-12-19 에이에스엠 아이피 홀딩 비.브이. 불소-함유 도전성 막들
KR20180070615A (ko) * 2015-10-16 2018-06-26 에이에스엠 아이피 홀딩 비.브이. 광활성 소자 및 재료
WO2020264458A1 (en) * 2019-06-28 2020-12-30 Applied Materials, Inc. Fluorine-doped nitride films for improved high-k reliability

Families Citing this family (495)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) * 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6821891B2 (en) * 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6962876B2 (en) * 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
KR100449028B1 (ko) * 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
KR100469126B1 (ko) * 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US20060014384A1 (en) * 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
TWI278532B (en) * 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US7311942B2 (en) * 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7198820B2 (en) * 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
WO2005017963A2 (en) * 2003-08-04 2005-02-24 Asm America, Inc. Surface preparation prior to deposition on germanium
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) * 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
TW200524018A (en) * 2003-11-20 2005-07-16 Ulvac Inc Method of cleaning surface of semiconductor substrate, method of manufacturing film, method of manufacturing semiconductor device and semiconductor device
JP2005217176A (ja) * 2004-01-29 2005-08-11 Tokyo Electron Ltd 半導体装置および積層膜の形成方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US7588988B2 (en) * 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
KR100594626B1 (ko) 2004-09-02 2006-07-07 한양대학교 산학협력단 원자층 증착법을 이용한 질화막의 형성 방법
JP2008519450A (ja) 2004-11-08 2008-06-05 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 基板にダマシン構造を製造する方法、集積回路の製造方法およびこれらの方法によって製造される半導体装置
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060196585A1 (en) * 2005-01-24 2006-09-07 Osram Sylvania Inc. Additives for Suppressing Tungsten Leachability
US20060198773A1 (en) * 2005-01-24 2006-09-07 Osram Sylvania Inc. Method for Suppressing the Leachability of Certain Metals
US7989064B2 (en) * 2005-01-24 2011-08-02 Global Tungsten & Powders Corp. Ceramic-coated tungsten powder
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
EP1693889B1 (en) * 2005-02-16 2017-05-24 Imec Method to enhance the initiation of film growth
US7927933B2 (en) * 2005-02-16 2011-04-19 Imec Method to enhance the initiation of film growth
WO2006091510A1 (en) * 2005-02-22 2006-08-31 Asm America, Inc. Plasma pre-treating surfaces for atomic layer deposition
JP2006286802A (ja) * 2005-03-31 2006-10-19 Fujitsu Ltd 埋込配線の形成方法
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7473637B2 (en) * 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7365011B2 (en) * 2005-11-07 2008-04-29 Intel Corporation Catalytic nucleation monolayer for metal seed layers
JP4975414B2 (ja) * 2005-11-16 2012-07-11 エーエスエム インターナショナル エヌ.ヴェー. Cvd又はaldによる膜の堆積のための方法
US7354849B2 (en) * 2006-02-28 2008-04-08 Intel Corporation Catalytically enhanced atomic layer deposition process
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
KR100884339B1 (ko) * 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
KR100788602B1 (ko) * 2006-09-29 2007-12-26 주식회사 하이닉스반도체 반도체 소자 및 그 금속 배선 형성 방법
JP2010506408A (ja) * 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US8025932B2 (en) * 2007-02-21 2011-09-27 Colorado School Of Mines Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7944600B2 (en) * 2007-05-03 2011-05-17 Silicon Quest Kabushiki-Kaisha Mirror device with an anti-stiction layer
US8084105B2 (en) * 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US20090032949A1 (en) * 2007-08-02 2009-02-05 Micron Technology, Inc. Method of depositing Tungsten using plasma-treated tungsten nitride
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US7855493B2 (en) * 2008-02-27 2010-12-21 Arradiance, Inc. Microchannel plate devices with multiple emissive layers
US8052884B2 (en) * 2008-02-27 2011-11-08 Arradiance, Inc. Method of fabricating microchannel plate devices with multiple emissive layers
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US20090315093A1 (en) * 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US7666474B2 (en) * 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
KR101436564B1 (ko) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8237129B2 (en) * 2008-06-20 2012-08-07 Arradiance, Inc. Microchannel plate devices with tunable resistive films
US8227965B2 (en) * 2008-06-20 2012-07-24 Arradiance, Inc. Microchannel plate devices with tunable resistive films
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
JP2010199349A (ja) * 2009-02-26 2010-09-09 Toshiba Corp 半導体装置の製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8168528B2 (en) * 2009-06-18 2012-05-01 Kabushiki Kaisha Toshiba Restoration method using metal for better CD controllability and Cu filing
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5036849B2 (ja) * 2009-08-27 2012-09-26 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置
KR101712040B1 (ko) * 2009-10-20 2017-03-03 에이에스엠 인터내셔널 엔.브이. 유전체 막들의 부동태화를 위한 공정들
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8310893B2 (en) * 2009-12-16 2012-11-13 Micron Technology, Inc. Techniques for reducing impact of array disturbs in a semiconductor memory device
JP2012193445A (ja) * 2011-02-28 2012-10-11 Tokyo Electron Ltd 窒化チタン膜の形成方法、窒化チタン膜の形成装置及びプログラム
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6022228B2 (ja) 2011-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8945418B2 (en) * 2011-11-16 2015-02-03 The United States Of America, As Represented By The Secretary Of The Navy Melt stabilization and vapor-phase synthesis of cesium germanium halides
EP2809824A4 (en) * 2012-02-02 2015-11-18 Nano Nouvelle Pty Ltd THIN COATINGS ON MATERIALS
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US8735280B1 (en) 2012-12-21 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6347705B2 (ja) * 2014-09-17 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9875890B2 (en) * 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) * 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9385318B1 (en) * 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
JP6851173B2 (ja) * 2016-10-21 2021-03-31 東京エレクトロン株式会社 成膜装置および成膜方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) * 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10510547B2 (en) 2017-09-13 2019-12-17 Applied Materials, Inc. Metal and metal-derived films
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102376835B1 (ko) * 2017-09-25 2022-03-21 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10943780B2 (en) * 2017-11-19 2021-03-09 Applied Materials, Inc. Methods for ALD of metal oxides on metal surfaces
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
EP3768874A4 (en) * 2018-03-19 2022-03-30 Applied Materials, Inc. METHODS FOR DEPOSITING COATINGS ON AEROSPACE ELEMENTS
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019213604A1 (en) * 2018-05-03 2019-11-07 Lam Research Corporation Method of depositing tungsten and other metals in 3d nand structures
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2022513479A (ja) 2018-12-14 2022-02-08 ラム リサーチ コーポレーション 3d nand構造上の原子層堆積
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2020159882A1 (en) 2019-01-28 2020-08-06 Lam Research Corporation Deposition of metal films
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
SG11202111277UA (en) 2019-04-11 2021-11-29 Lam Res Corp High step coverage tungsten deposition
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN111863712A (zh) * 2019-04-24 2020-10-30 台湾积体电路制造股份有限公司 半导体结构和形成半导体结构的方法
US11929512B2 (en) 2019-04-30 2024-03-12 The Board Of Trustees Of The Leland Stanford Junior University Oxidized surface layer on transition metal nitrides: active catalysts for the oxygen reduction reaction
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102553296B1 (ko) * 2019-12-12 2023-07-10 주식회사 원익아이피에스 박막 형성 방법
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
CN113136565A (zh) 2020-01-20 2021-07-20 Asm Ip私人控股有限公司 形成薄膜的方法和改性薄膜的表面的方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210111017A (ko) * 2020-03-02 2021-09-10 주식회사 원익아이피에스 기판 처리 방법 및 이를 이용하여 제조된 반도체 소자
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11646226B2 (en) 2020-05-11 2023-05-09 Applied Materials, Inc. Method of tuning film properties of metal nitride using plasma
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US11967502B2 (en) 2020-06-30 2024-04-23 Samsung Electronics Co., Ltd. Methods of forming material layer, semiconductor devices, and methods of manufacturing the same
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20240004461A (ko) * 2021-05-07 2024-01-11 에이비 산드빅 코로만트 절삭 공구
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001029893A1 (en) * 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US3708728A (en) * 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
DE3463641D1 (en) * 1983-11-11 1987-06-19 Japan Res Dev Corp Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5382333A (en) * 1990-07-30 1995-01-17 Mitsubishi Gas Chemical Company, Inc. Process for producing copper clad laminate
US5316793A (en) * 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) * 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
FR2695944B1 (fr) * 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
US5438028A (en) * 1993-10-15 1995-08-01 Texaco Inc. Method of enhancing finished catalysts
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
DE69531170T2 (de) * 1994-11-30 2004-05-06 Micron Technology, Inc. Unebener Halbleiter-Speicherkondensator
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
WO1996020298A1 (de) * 1994-12-27 1996-07-04 Siemens Aktiengesellschaft Verfahren zum herstellen von mit bor dotiertem, einkristallinem siliciumcarbid
US6006763A (en) * 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
US5744254A (en) * 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) * 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US5789024A (en) * 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US5915004A (en) * 1996-07-11 1999-06-22 Microsoft Corporation Moving a messaging system mailbox
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
TW320752B (en) * 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
JP3150095B2 (ja) * 1996-12-12 2001-03-26 日本電気株式会社 多層配線構造の製造方法
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US5939334A (en) * 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
KR100287174B1 (ko) * 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
ATE408035T1 (de) 1999-02-11 2008-09-15 Hardide Ltd Wolframkarbidbeschichtungen und verfahren zu deren herstellung
EP1221177B1 (en) * 1999-10-15 2006-05-31 Asm International N.V. Conformal lining layers for damascene metallization
WO2001029280A1 (en) * 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
BR0010525A (pt) 1999-10-19 2002-11-26 Abbott Lab cido 1-ciclo-hexeno-1-carboxìlico e 1-ciclo-hexeno-1carboxilatos como inibidores de neuraminidase
EP1266054B1 (en) * 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
WO2001088972A1 (en) 2000-05-15 2001-11-22 Asm Microchemistry Oy Process for producing integrated circuits
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
CN1596466A (zh) * 2001-07-02 2005-03-16 陶氏康宁公司 通过在多孔材料上的sic∶h沉积提高金属阻挡性能
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001029893A1 (en) * 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160145165A (ko) * 2014-04-17 2016-12-19 에이에스엠 아이피 홀딩 비.브이. 불소-함유 도전성 막들
KR102218668B1 (ko) * 2014-04-17 2021-02-23 에이에스엠 아이피 홀딩 비.브이. 불소-함유 도전성 막들
KR20210021408A (ko) * 2014-04-17 2021-02-25 에이에스엠 아이피 홀딩 비.브이. 불소-함유 도전성 막들
KR102472965B1 (ko) * 2014-04-17 2022-12-01 에이에스엠 아이피 홀딩 비.브이. 불소-함유 도전성 막들
KR20220165801A (ko) * 2014-04-17 2022-12-15 에이에스엠 아이피 홀딩 비.브이. 불소-함유 도전성 막들
KR102673965B1 (ko) 2014-04-17 2024-06-11 에이에스엠 아이피 홀딩 비.브이. 불소-함유 도전성 막들
KR20180070615A (ko) * 2015-10-16 2018-06-26 에이에스엠 아이피 홀딩 비.브이. 광활성 소자 및 재료
KR102409503B1 (ko) 2015-10-16 2022-06-15 에이에스엠 아이피 홀딩 비.브이. 광활성 소자 및 재료
WO2020264458A1 (en) * 2019-06-28 2020-12-30 Applied Materials, Inc. Fluorine-doped nitride films for improved high-k reliability
US11171047B2 (en) 2019-06-28 2021-11-09 Applied Materials, Inc. Fluorine-doped nitride films for improved high-k reliability

Also Published As

Publication number Publication date
WO2003025243A2 (en) 2003-03-27
US7410666B2 (en) 2008-08-12
AU2002333601A1 (en) 2003-04-01
TW559890B (en) 2003-11-01
US20060078679A1 (en) 2006-04-13
EP1425435A2 (en) 2004-06-09
JP4938962B2 (ja) 2012-05-23
US20030082296A1 (en) 2003-05-01
JP2005503484A (ja) 2005-02-03
KR20040044931A (ko) 2004-05-31
WO2003025243A3 (en) 2003-11-27
US6986914B2 (en) 2006-01-17

Similar Documents

Publication Publication Date Title
KR101013231B1 (ko) 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US10964534B2 (en) Enhanced thin film deposition
US7749871B2 (en) Method for depositing nanolaminate thin films on sensitive surfaces
US6902763B1 (en) Method for depositing nanolaminate thin films on sensitive surfaces
KR101506019B1 (ko) 금속 카바이드 막의 기상 증착
KR101907193B1 (ko) 금속 박막들의 실란 또는 보란 처리
KR100737901B1 (ko) 민감한 표면에 나노적층박막을 증착하는 방법
JP5207690B2 (ja) 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法
JP4113099B2 (ja) 半導体基板の表面に金属層を堆積する方法
JP2022510428A (ja) ボイドフリーの低応力充填
US7666474B2 (en) Plasma-enhanced pulsed deposition of metal carbide films
CN115836380A (zh) 低电阻脉冲式cvd钨
JP4746234B2 (ja) 感受性表面上にナノラミネート薄膜を堆積するための方法
JP4261417B2 (ja) 拡散バリア層表面に金属膜を形成する方法
US20210404060A1 (en) Vapor deposition of tungsten films

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
E902 Notification of reason for refusal
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140106

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150106

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160104

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170102

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180103

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190103

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20200103

Year of fee payment: 10