KR100884339B1 - 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법 - Google Patents

반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법 Download PDF

Info

Publication number
KR100884339B1
KR100884339B1 KR1020060059925A KR20060059925A KR100884339B1 KR 100884339 B1 KR100884339 B1 KR 100884339B1 KR 1020060059925 A KR1020060059925 A KR 1020060059925A KR 20060059925 A KR20060059925 A KR 20060059925A KR 100884339 B1 KR100884339 B1 KR 100884339B1
Authority
KR
South Korea
Prior art keywords
tungsten
forming
reaction chamber
gas
layer
Prior art date
Application number
KR1020060059925A
Other languages
English (en)
Other versions
KR20080001460A (ko
Inventor
김춘환
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020060059925A priority Critical patent/KR100884339B1/ko
Priority to US11/618,631 priority patent/US7563718B2/en
Publication of KR20080001460A publication Critical patent/KR20080001460A/ko
Application granted granted Critical
Publication of KR100884339B1 publication Critical patent/KR100884339B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals

Abstract

텅스텐을 이용하여 저저항의 배선층을 형성할 때 텅스텐 박막 내에 보론(B)이 잔류하는 것을 방지하여 접합영역으로의 보론(B)의 침투로 인한 누설전류를 방지하고 소자의 특성을 향상시킬 수 있는 반도체 소자의 텅스텐막 형성방법과 이를 이용한 텅스텐 배선층 형성방법을 기재하고 있다. 텅스텐막 형성방법은, 반응챔버 내에 반도체기판을 로딩하는 단계와, 반응챔버 내에 텅스텐(W) 원소를 포함하는 소스가스를 도입함으로써 반도체기판의 표면에 핵결정을 성장시키는 단계와, 반응챔버 내에 보론(B)을 함유하는 환원가스를 도입함으로써 소스가스와 환원가스의 작용에 의해 반도체기판에 텅스텐막을 형성하는 단계, 및 반응챔버 내에 수소가스(H2)를 도입함으로써 텅스텐막 내에 잔류하는 보론(B)을 제거하는 단계를 포함한다.
텅스텐막, 원자층 증착, 핵형성층

Description

반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐 배선층 형성방법{Method for forming W film and method for forming W connection line in semiconductor device}
도 1은 본 발명에 따른 텅스텐막 형성방법을 설명하기 위한 공정 흐름도이다.
도 2 내지 도 4는 본 발명에 따른 반도체 소자의 텅스텐 배선층 형성방법을 설명하기 위하여 도시한 단면도들이다.
본 발명은 반도체 소자의 제조방법에 관한 것으로, 특히 누설전류 특성을 개선하여 신뢰성있는 배선층 형성을 가능하게 하는 반도체 소자의 텅스텐막 형성방법과 이를 이용한 텅스텐 배선층 형성방법에 관한 것이다.
디램(DRAM; Dynamic Random Access Memory)과 같은 휘발성(volatile) 메모리소자의 경우엔 셀(cell) 내부에 지속적인 데이터(data)의 저장을 위하여 주기적으로 전하를 보충해 주어야 하는데 이를 리프래쉬(refresh) 과정이라고 한다. 이러한 리프래쉬 과정은 많은 전력을 소모시키기 때문에, 특히 모바일(mobile) 제품에 있 어서는 매우 불리한 요소로 작용할 수 있다. 따라서, 리프래쉬 주기를 증가시켜 이러한 문제점을 극복할 필요가 있는데, 리프래쉬 주기를 증가시키기 위해서는 셀 내의 스토리지 캐패시터의 용량(Cs)을 늘이거나, 셀 내부에 기생하는 기생 캐패시턴스(Cb)를 감소시키는 방법이 있다.
스토리지 캐패시터의 용량을 늘이기 위해서는 셀의 면적을 증가시키거나 유전상수가 큰 물질을 캐패시터의 재료로 사용하는 방법 등이 있으나, 셀의 면적을 증가시키는 방법은 디자인 룰(design rule)에 있어서 거의 한계 상황에 도달해 있으며, 유전상수가 높은 새로운 물질을 적용하는 것도 너무 큰 투자와 많은 연구적인 문제를 수반하기 때문에 현실적으로 어려움이 많은 것이 사실이다. 따라서, 현재로서는 반도체 소자 내부에 스토리지 캐패시터와 직렬로 존재하여 셀의 전체적인 캐패시턴스를 감소시키는 기생 캐패시턴스를 줄이는 것이 가장 좋은 방법이라고 할 수 있다.
기생 캐패시턴스(Cb)를 감소시키기 위해서는 비트라인 도전막, 즉 텅스텐막의 두께를 감소시키면 되는데, 비트라인의 과도한 두께 감소는 비트라인의 면저항(sheet resistance)을 증가시켜 소자의 동작속도를 악화시킬 수 있다. 이러한 문제를 개선하기 위하여 낮은 저항률(resistivity)을 갖는 텅스텐막을 형성하는 공정에 관한 연구가 활발히 진행되고 있다. 그 대표적인 방법이 디보란(Diborane; B2H6) 가스를 이용하여 텅스텐 핵생성층을 형성하는 방법으로, 디보란(B2H6)과 육불화텅스텐(WF6)의 순차적인 작용에 의해 원자층증착(Atomic Layer Deposition; ALD) 방식으 로 텅스텐 핵생성층을 형성한 후 후속 수소 환원작용에 의해 텅스텐막이 증착되도록 하는 방식이다. 그러면 텅스텐의 그레인이 증가하게 되어 그레인 바운더리(grain boundary)에 의한 산란(scattering)이 감소되어 텅스텐막의 저항률이 감소하게 된다.
그러나, 과도한 디보란(B2H6) 가스의 사용은 텅스텐 박막 내에 보론(B)의 잔류를 유발하고, 접합영역으로 보론(B)이 침투하여 누설전류가 증가하고 소자의 특성이 악화되며 제조수율이 떨어지는 문제점이 있다.
본 발명이 이루고자 하는 기술적 과제는, 텅스텐을 이용하여 저저항의 배선층을 형성할 때 텅스텐 박막 내에 보론(B)이 잔류하는 것을 방지하여 접합영역으로의 보론의 침투로 인한 누설전류를 방지하고 소자의 특성을 향상시킬 수 있는 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐 배선층 형성방법을 제공하는 것이다.
상기 기술적 과제를 이루기 위하여 본 발명에 의한 반도체 소자의 텅스텐막 형성방법은, 반도체기판 상에 텅스텐막을 성막하는 방법에 있어서, 반응챔버 내에 반도체기판을 로딩하는 단계와, 상기 반응챔버 내에 텅스텐(W) 원소를 포함하는 소스가스를 도입함으로써 상기 반도체기판의 표면에 핵결정을 성장시키는 단계와, 상기 반응챔버 내에 보론(B)을 함유하는 환원가스를 도입함으로써 상기 소스가스와 상기 환원가스의 작용에 의해 상기 반도체기판에 텅스텐막을 형성하는 단계, 및 상기 반응챔버 내에 수소가스(H2)를 도입함으로써 상기 텅스텐막 내에 잔류하는 보론(B)을 제거하는 단계를 포함하는 것을 특징으로 한다.
본 발명에 있어서, 상기 반응챔버는 원자층 증착(Atomic Layer Deposition) 공정을 수행하기 위한 챔버이다.
그리고, 상기 소스가스로 육불화텅스텐(WF6) 가스와 수소를, 상기 환원가스로는 디보란(B2H6) 가스를 사용한다.
그리고, 상기 반응챔버 내에 보론(B)을 함유하는 환원가스를 도입하여 상기 반도체기판 텅스텐막을 형성하는 단계 및 상기 반응챔버 내에 수소가스(H2)를 도입하는 단계 후에, 상기 반응챔버 내에 퍼지(purge) 가스를 주입하여 반응챔버를 퍼징시키는 단계를 추가할 수 있다.
또한, 상기 반도체기판을 수소가스에 노출시키는 단계에서는 반응챔버 내에 수소(H2) 플라즈마를 형성할 수도 있다.
또한, 상기 반응챔버 내에 텅스텐(W) 원소를 포함하는 소스가스를 도입하여 상기 반도체기판의 표면에 핵결정을 성장시키는 단계와, 상기 반응챔버 내에 보론(B)을 함유하는 환원가스를 도입하여 상기 반도체기판 텅스텐막을 형성하는 단계 및 상기 반응챔버 내에 수소가스(H2)를 도입하는 단계를, 원하는 텅스텐막의 두께에 도달할 때까지 수회 반복하는 것이 바람직하다.
상기 기술적 과제를 이루기 위한 본 발명에 의한 반도체 소자의 텅스텐 배선층 형성방법은, 하부 도전영역을 포함하는 반도체기판 상에 형성된 층간절연막을 식각하여 상기 하부 도전영역의 일부를 노출시키는 컨택홀을 형성하는 단계와, 텅스텐(W) 원소를 포함하는 소스가스와 보론(B)을 함유하는 환원가스의 작용에 의해 상기 반도체기판 위에 텅스텐막을 형성하는 단계, 및 상기 반도체기판을 수소가스(H2)에 노출시킴으로써 상기 텅스텐막 내에 잔류하는 보론(B)을 제거하는 단계를 포함하는 것을 특징으로 한다.
본 발명에 있어서, 상기 텅스텐막은 원자층 증착 방법을 사용하여 형성한다.
그리고 본 발명에 있어서, 상기 소스가스로 육불화텅스텐(WF6) 가스와 수소를, 그리고 상기 환원가스로는 디보란(B2H6) 가스를 사용한다.
그리고, 상기 반도체기판을 수소가스에 노출시키는 단계에서는 반응챔버 내에 수소가스(H2)를 주입하거나 수소 플라즈마를 형성할 수도 있다.
그리고, 상기 반도체기판 위에 텅스텐막을 형성하는 단계 전에, 상기 컨택홀내에 장벽금속층을 형성하는 단계를 더 구비하는 것이 바람직한데, 이 장벽금속층은 티타늄(Ti) 또는 티타늄 실리사이드(TiN)로 형성할 수 있다.
이하 첨부 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 그러나, 본 발명의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술하는 실시예들로 인해 한정되어지는 것으로 해석되어져서는 안된다.
도 1은 본 발명에 따른 텅스텐막 형성방법을 설명하기 위한 공정 흐름도이다.
도 1을 참조하면, 반도체기판을 반응챔버(미도시)에 로딩한다(단계 10). 여기서 반응챔버는 원자층증착을 위한 챔버를 의미한다. 상기 반도체기판 위에는 소정의 막들이 형성되어 있다. 예를 들어 텅스텐막을 사용하여 비트라인 배선층을 형성할 경우 상기 반도체기판에는 게이트스택을 포함하는 트랜지스터가 형성되고, 층간절연막과 컨택홀이 형성되어 있으며, 상기 컨택홀 내에는 장벽금속층이 형성되어 있을 수 있다.
다음에 반응챔버 내에 텅스텐(W)을 포함하는 소스가스를 공급하여 반도체기판에 핵결정이 성장되도록 한다(단계 20). 상기 소스가스로는 육불화텅스텐(WF6) 가스와 수소를 사용한다. 다음에 반응챔버에 퍼지(purge) 가스를 공급하는데(단계 30), 퍼지가스로는 불활성 계열의 가스를 사용한다.
다음에 반응챔버 내에 보론(B)을 함유하는 환원가스, 예를 들어 디보란(B2H6) 가스를 도입함으로써 상기 소스가스와 환원가스의 작용에 의해 반도체기판에 텅스텐막을 성막한다(단계 40). 다시 반응챔버에 퍼지가스를 공급하는데(단계 50), 퍼지가스로는 불활성 계열의 가스를 사용한다.
다음에 텅스텐 박막 내에 잔류하는 보론(B)을 제거하기 위하여 반응챔버 내에 수소가스(H2)를 공급한(단계 60) 다음, 퍼지가스를 공급한다(단계 70). 상기 반응챔버에 수소가스(H2)를 수 초 정도 주입하면, 텅스텐막 내에 잔존하는 보론(B) 이 온이 수소(H)와 결합하므로 텅스텐 박막 내에 보론(B)이 잔류하는 것을 방지할 수 있다. 수소가스를 주입하는 대신에 수소 플라즈마를 반응챔버 내에 형성할 수도 있으며, 퍼지가스로는 불활성 계열의 가스를 사용한다.
다음에, 텅스텐 박막의 증착이 원하는 두께만큼 완료되었는지를 판단한다(단계 80). 증착이 원하는 두께만큼 완료되면 증착공정을 끝내고, 원하는 두께만큼 완료되지 않았으면 다시 소스가스를 주입하는 단계(단계 20)부터 반복해서 수행한다.
도 2 내지 도 4는 본 발명에 의한 텅스텐막 형성방법을 이용하여 반도체 소자의 배선층을 형성하는 방법을 설명하기 위하여 도시한 단면도들이다.
도 2를 참조하면, 반도체기판(100)의 활성영역에 불순물 이온주입 및 활성화를 수행하여 불순물영역(102)을 형성한다. 불순물영역이 형성된 상기 반도체기판의 전면에 절연막을 증착하여 층간절연막(110)을 형성한다. 도시되지 않았지만, 텅스텐 배선층이 비트라인 도전층으로 사용될 경우 상기 층간절연막이 형성되기 전에 반도체기판 상에 게이트절연막, 게이트 도전막 및 하드마스크층을 포함하는 게이트 스택이 형성된다.
다음에 컨택이 형성될 영역의 상기 층간절연막(110)을 식각하여 상기 불순물영역을 노출시키는 컨택홀을 형성한다. 티타늄(Ti) 또는 티타늄 나이트라이드(TiN)와 같은 장벽금속을 증착하여 컨택홀 내벽에 장벽금속층(120)을 형성한다. 상기 장벽금속층(120) 위에 텅스텐(W) 막을 증착하여 텅스텐 핵생성층(130)을 형성한다. 상기 텅스텐 핵생성층(130)은 원자층증착(ALD) 방식으로 형성하는데, 이를 위하여 육불화텅스텐(WF6)과 수소로 이루어진 소스가스와 디보란(B2H6) 가스를 반응챔버 내에 순차적으로 흘려보내어 20 ∼ 60Å 정도 두께로 텅스텐 핵생성층(130)을 형성한다.
도 3을 참조하면, 텅스텐 핵생성층(130)이 형성된 상기 반도체기판에 수소가스(H2)를 수 초 정도 흘려보낸다(140). 그러면, 텅스텐 핵생성층(130) 내에 잔존하는 보론(B) 이온이 수소(H)와 결합하므로 텅스텐 핵생성층(130) 내에 보론(B)이 잔류하지 않게 된다. 수소가스 대신에 수소 플라즈마(plasma)를 형성시켜도 같은 효과를 거둘 수 있다.
도 4를 참조하면, 육불화텅스텐(WF6)과 수소가스, 디보란(B2H6) 가스를 이용한 텅스텐 증착 및 수소가스 주입을 수 회 반복해서 실시함으로써 상기 컨택홀을 완전히 매립하는 텅스텐 배선층(150)을 완성한다.
상술한 본 발명에 의한 반도체 소자의 텅스텐 배선층 형성방법에 따르면, 텅스텐(W)막을 증착하는 단계에서 수소가스를 플로(flow)시키는 단계를 추가함으로써 증착된 텅스텐막 내에 보론(B)이 잔류하는 것을 방지할 수 있다. 따라서, 불순물영역으로의 보론의 확산을 방지하여 누설전류를 방지할 수 있으며, 결과적으로 소자의 특성을 개선하며 제조수율을 향상시킬 수 있는 이점이 있다.
이상 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않으며, 본 발명의 기술적 사상 내에서 당 분야에서 통상 의 지식을 가진 자에 의하여 여러 가지 변형이 가능함은 당연하다.

Claims (12)

  1. 반도체기판을 반응챔버 내에 로딩하는 단계;
    상기 반응챔버 내에 원자층 증착(ALD) 공정을 위한 육불화텅스텐(WF6) 가스를 공급하여 상기 반도체기판의 표면에 육불화텅스텐(WF6)을 흡착시키는 단계;
    상기 반응챔버 내에 퍼지가스를 주입하여 미흡착된 육불화텅스텐을 배기하는 단계;
    상기 반응챔버 내에 디보란(B2H6) 가스를 공급하여 상기 흡착된 육불화텅스텐과 상기 디보란 가스를 반응시켜 텅스텐(W)층을 형성하는 단계;
    상기 반응챔버 내에 퍼지가스를 주입하는 단계;
    상기 반응챔버 내에 수소가스(H2)를 도입하여 상기 텅스텐층에 잔류된 보론과 수소의 반응으로 보란의 생성을 유도하는 단계;
    상기 반응챔버 내에 퍼지가스를 주입하여 상기 보란을 배기시키는 단계; 및
    상기 육불화텅스텐을 흡착시키는 단계 내지 상기 보란을 배기시키는 단계를 반복하는 반도체 소자의 텅스텐막 형성방법.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 제1항에 있어서, 상기 반응챔버 내에 수소가스를 도입하는 단계에서,
    상기 반응챔버 내에 수소(H2) 플라즈마를 형성하는 것을 특징으로 하는 반도체 소자의 텅스텐막 형성방법.
  6. 삭제
  7. 하부 도전영역을 포함하는 반도체기판 상에 형성된 층간절연막을 식각하여 상기 하부 도전영역의 일부를 노출시키는 컨택홀을 형성하는 단계;
    상기 반도체 기판상에 원자층 증착(ALD) 공정을 위한 육불화텅스텐(WF6) 가스를 공급하여 상기 컨택홀 상에 육불화텅스텐(WF6)을 흡착시키는 단계;
    상기 컨택홀 상에 퍼지가스를 공급하여 미흡착된 육불화텅스텐을 배기하는 단계;
    상기 컨택홀 상에 디보란(B2H6) 가스를 공급하여 상기 흡착된 육불화텅스텐과 상기 디보란 가스를 반응시켜 텅스텐(W)층을 형성하는 단계;
    상기 텅스텐층 상에 퍼지가스를 주입하는 단계;
    상기 텅스텐층 상에 수소가스(H2)를 공급하여 상기 텅스텐층에 잔류된 보론과 수소의 반응으로 보란의 생성을 유도하는 단계;
    상기 텅스텐층 상에 퍼지가스를 주입하여 상기 보란을 배기시키는 단계; 및
    상기 컨택홀 상에 육불화텅스텐을 흡착시키는 단계 내지 상기 보란을 배기시키는 단계를 반복하는 반도체 소자의 텅스텐 배선층 형성방법.
  8. 삭제
  9. 삭제
  10. 제7항에 있어서, 상기 텅스텐층 상에 수소가스에 공급하는 단계에서,
    텅스텐막을 형성하기 위한 반응챔버내에 수소가스를 주입하거나, 수소(H2) 플라즈마를 형성하는 것을 특징으로 하는 반도체 소자의 텅스텐 배선층 형성방법.
  11. 제7항에 있어서,
    상기 컨택홀을 형성한 후, 상기 컨택홀 상에 육불화텅스텐(WF6)을 흡착시키는 단계 전에,
    상기 컨택홀 내에 장벽금속층을 형성하는 단계를 더 구비하는 것을 특징으로 하는 반도체 소자의 텅스텐 배선층 형성방법.
  12. 제11항에 있어서, 상기 장벽금속층은 티타늄(Ti) 또는 티타늄 나이트라이드(TiN)로 형성하는 것을 특징으로 하는 반도체 소자의 텅스텐 배선층 형성방법.
KR1020060059925A 2006-06-29 2006-06-29 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법 KR100884339B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020060059925A KR100884339B1 (ko) 2006-06-29 2006-06-29 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
US11/618,631 US7563718B2 (en) 2006-06-29 2006-12-29 Method for forming tungsten layer of semiconductor device and method for forming tungsten wiring layer using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060059925A KR100884339B1 (ko) 2006-06-29 2006-06-29 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법

Publications (2)

Publication Number Publication Date
KR20080001460A KR20080001460A (ko) 2008-01-03
KR100884339B1 true KR100884339B1 (ko) 2009-02-18

Family

ID=38877231

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060059925A KR100884339B1 (ko) 2006-06-29 2006-06-29 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법

Country Status (2)

Country Link
US (1) US7563718B2 (ko)
KR (1) KR100884339B1 (ko)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
KR100890047B1 (ko) * 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
KR100972595B1 (ko) * 2008-02-12 2010-07-28 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US8623733B2 (en) * 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8644198B2 (en) * 2010-03-12 2014-02-04 Rf Micro Devices, Inc. Split-band power amplifiers and duplexers for LTE-advanced front end for improved IMD
US9220067B2 (en) 2011-05-02 2015-12-22 Rf Micro Devices, Inc. Front end radio architecture (FERA) with power management
US8774065B2 (en) 2011-05-02 2014-07-08 Rf Micro Devices, Inc. Radio front end and power management architecture for LTE-advanced
US20130224948A1 (en) * 2012-02-28 2013-08-29 Globalfoundries Inc. Methods for deposition of tungsten in the fabrication of an integrated circuit
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN113862634A (zh) * 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US10009058B2 (en) 2012-06-18 2018-06-26 Qorvo Us, Inc. RF front-end circuitry for receive MIMO signals
US9219594B2 (en) 2012-06-18 2015-12-22 Rf Micro Devices, Inc. Dual antenna integrated carrier aggregation front end solution
US20140015731A1 (en) 2012-07-11 2014-01-16 Rf Micro Devices, Inc. Contact mems architecture for improved cycle count and hot-switching and esd
US9143208B2 (en) 2012-07-18 2015-09-22 Rf Micro Devices, Inc. Radio front end having reduced diversity switch linearity requirement
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9203596B2 (en) 2012-10-02 2015-12-01 Rf Micro Devices, Inc. Tunable diplexer for carrier aggregation applications
US9419775B2 (en) 2012-10-02 2016-08-16 Qorvo Us, Inc. Tunable diplexer
US9078211B2 (en) 2012-10-11 2015-07-07 Rf Micro Devices, Inc. Power management configuration for TX MIMO and UL carrier aggregation
US9071210B2 (en) 2012-10-19 2015-06-30 Rf Micro Devices, Inc. Efficient power transfer power amplifier (PA) architecture
US9172441B2 (en) 2013-02-08 2015-10-27 Rf Micro Devices, Inc. Front end circuitry for carrier aggregation configurations
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9230863B2 (en) * 2014-02-11 2016-01-05 GlobalFoundries, Inc. Method for producing integrated circuit with smaller grains of tungsten
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10437348B2 (en) * 2015-05-26 2019-10-08 Stryker Corporation User interfaces for patient care devices
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10744053B2 (en) 2016-12-07 2020-08-18 Stryker Corporation Haptic systems and methods for a user interface of a patient support apparatus
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
JP7018748B2 (ja) * 2017-11-28 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜条件の算出方法
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
KR102469215B1 (ko) 2020-11-13 2022-11-23 주식회사 대동금속스텐공업 경계 펜스
US20230107536A1 (en) * 2021-10-05 2023-04-06 Applied Materials, Inc. Methods for forming low resistivity tungsten features
WO2023219745A1 (en) * 2022-05-10 2023-11-16 Applied Materials, Inc. Method of forming multi-tier tungsten features

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010012627A (ko) * 1997-05-16 2001-02-26 조셉 제이. 스위니 디보란을 이용한 저 비저항 텅스텐 박막층
KR20040001872A (ko) * 2002-06-29 2004-01-07 주식회사 하이닉스반도체 텅스텐막을 이용한 반도체소자의 콘택 형성 방법
KR20040003385A (ko) * 2002-07-02 2004-01-13 주식회사 하이닉스반도체 텅스텐막의 원자층 증착 방법

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US6162715A (en) * 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
EP1219725B1 (en) * 2000-12-28 2005-08-17 AMI Semiconductor Belgium BVBA Method for tungsten chemical vapor deposition on a semiconductor substrate
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6686278B2 (en) * 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
AU2002333601A1 (en) * 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US6905543B1 (en) * 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
KR20070108918A (ko) * 2005-02-22 2007-11-13 에이에스엠 아메리카, 인코포레이티드 원자층 증착을 위한 표면의 플라즈마 전처리

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010012627A (ko) * 1997-05-16 2001-02-26 조셉 제이. 스위니 디보란을 이용한 저 비저항 텅스텐 박막층
KR20040001872A (ko) * 2002-06-29 2004-01-07 주식회사 하이닉스반도체 텅스텐막을 이용한 반도체소자의 콘택 형성 방법
KR20040003385A (ko) * 2002-07-02 2004-01-13 주식회사 하이닉스반도체 텅스텐막의 원자층 증착 방법

Also Published As

Publication number Publication date
KR20080001460A (ko) 2008-01-03
US20080003797A1 (en) 2008-01-03
US7563718B2 (en) 2009-07-21

Similar Documents

Publication Publication Date Title
KR100884339B1 (ko) 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
KR101211043B1 (ko) 매립게이트를 구비한 반도체 장치 제조방법
US11552082B2 (en) Reducing gate induced drain leakage in DRAM wordline
US20080296660A1 (en) Low resistivity conductive structures, devices and systems including same, and methods forming same
US10002874B2 (en) Method of forming conductive material of a buried transistor gate line and method of forming a buried transistor gate line
JP2008131051A (ja) 半導体装置の製造方法
US11171141B2 (en) Gap fill methods of forming buried word lines in DRAM without forming bottom voids
TWI438299B (zh) 沈積含銻相變材料至基材之方法及形成相變記憶體電路之方法
JP2008091844A (ja) 半導体素子の金属配線形成方法
US8710624B2 (en) Semiconductor device
US10964536B2 (en) Formation of an atomic layer of germanium in an opening of a substrate material having a high aspect ratio
KR20040005330A (ko) 실리콘옥사이드층을 포함하는 반도체소자의 제조방법
US20150194478A1 (en) Capacitors and Methods of Forming Capacitors
KR100613450B1 (ko) 반도체 장치의 비트라인 배리어 금속층 및 그 제조방법
US20070042574A1 (en) Method for manufacturing a semiconductor device
KR20040100766A (ko) 원자층 증착법을 이용한 복합 유전막의 연속 형성방법 및이를 이용한 캐패시터의 제조방법
JP2004063807A (ja) 半導体装置の製造方法
US11322502B2 (en) Apparatus including barrier materials within access line structures, and related methods and electronic systems
US9099472B2 (en) Semiconductor constructions, methods of forming conductive structures and methods of forming DRAM cells
CN114678325A (zh) 接触孔中填充多晶硅的方法
US11239241B2 (en) Bit line utilized in DRAM
US9293337B2 (en) Semiconductor device and method for fabricating the same
JP2007329286A (ja) 半導体装置、およびその製造方法
KR100805694B1 (ko) 텅스텐막의 형성 방법
JP2002170821A (ja) 膜の形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120126

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee