KR20220047333A - 텅스텐 증착 - Google Patents

텅스텐 증착 Download PDF

Info

Publication number
KR20220047333A
KR20220047333A KR1020227008307A KR20227008307A KR20220047333A KR 20220047333 A KR20220047333 A KR 20220047333A KR 1020227008307 A KR1020227008307 A KR 1020227008307A KR 20227008307 A KR20227008307 A KR 20227008307A KR 20220047333 A KR20220047333 A KR 20220047333A
Authority
KR
South Korea
Prior art keywords
reducing agent
chamber
tungsten
containing reducing
doses
Prior art date
Application number
KR1020227008307A
Other languages
English (en)
Inventor
프라그나 난나파네니
노비 조크로
세마 얼메즈
루오펭 뎅
티엔화 유
샤오란 바
산제이 고피나스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220047333A publication Critical patent/KR20220047333A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L27/11548
    • H01L27/11556
    • H01L27/11575
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/50Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the boundary region between the core region and the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

텅스텐으로 피처들을 충진하는 방법들 및 관련 장치가 본 명세서에 기술된다. 본 명세서에 기술된 방법들은 벌크 층의 증착 전 텅스텐 핵생성 층의 증착을 수반한다. 방법들은 복수의 ALD (Atomic Layer Deposition) 사이클들을 수반한다. 다양한 실시 예들에 따라, 붕소-함유 환원제 및 실리콘-함유 환원제 모두는 텅스텐-함유 전구체와 반응하고 텅스텐 막을 형성하도록, 단일 사이클 동안 펄스들일 수도 있다.

Description

텅스텐 증착
CVD (Chemical Vapor Deposition) 기법들을 사용한 텅스텐 (W) 막 증착은 반도체 제조 프로세스들의 필수적인 부분이다. 예를 들어, 텅스텐 막들은 수평 상호 접속부들, 인접한 금속 층들 사이의 비아들, 및 제 1 금속 층과 실리콘 기판 상의 디바이스들 사이의 콘택트들의 형태의 저 저항률 전기적 연결부들로서 사용될 수도 있다. 텅스텐 막들은 또한 DRAM (Dynamic Random Access Memory) 을 위한 bWL (buried wordline) 아키텍처들의 형성, 3D NAND를 위한 워드라인들, 및 로직 애플리케이션들을 포함하는 다양한 메모리 애플리케이션들에서 사용될 수도 있다. 그러나, 피처 사이즈 및 막 두께의 계속된 감소는 우수한 단차 커버리지를 갖는 막들의 증착을 포함하여 다양한 문제들을 야기한다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시하기 위한 것이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다.  본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
본 개시의 일 양태는 챔버 내에 피처를 포함하는 기판을 제공하는 단계; 원자 층 증착 (Atomic Layer Deposition; ALD) 프로세스의 하나 이상의 사이클들을 수행함으로써 피처 내에 텅스텐 핵생성 층을 증착하는 단계를 포함하고, 사이클 각각은: 챔버 내에서 하나 이상의 붕소-함유 환원제 도즈들을 흘리는 단계, 챔버 내에서 하나 이상의 실리콘-함유 환원제 도즈들을 흘리는 단계로서, 하나 이상의 붕소-함유 환원제 도즈들 및 하나 이상의 실리콘-함유 환원제 도즈들은 순차적인 반응 물질 도즈들인, 하나 이상의 실리콘-함유 환원제 도즈들을 흘리는 단계, 및 챔버 내에서 하나 이상의 붕소-함유 환원제 도즈들 및 하나 이상의 실리콘-함유 환원제 도즈들을 흘린 후, 챔버 내에서 하나 이상의 텅스텐-함유 전구체 펄스들을 흘리는 단계를 포함한다.
일부 실시 예들에서, 피처는 3-D NAND 구조체의 워드라인 (WL) 피처이고, 3-D NAND 구조체는 수직으로 배향된 측벽들을 포함하고, 측벽들 내의 복수의 개구부들은 복수의 수평으로 배향된 WL 피처들로 이어진다. 일부 실시 예들에서, 방법은 순차적인 반응 물질 도즈들 사이에 챔버를 퍼지하는 단계를 더 포함한다. 일부 실시 예들에서, 방법은 텅스텐 핵생성 층 상에 벌크 텅스텐 층을 증착하는 단계를 더 포함한다. 일부 실시 예들에서, 벌크 텅스텐 층은 환원제로서 수소 (H2) 를 사용하는 원자 층 증착 프로세스에 의해 증착된다. 일부 실시 예들에서, ALD 사이클 각각은 붕소-함유 환원제 도즈로 시작된다. 일부 실시 예들에서, ALD 사이클 각각은 실리콘-함유 환원제 도즈로 시작된다.
본 개시의 또 다른 양태는 3-D NAND 구조체를 충진하는 방법에 관한 것이다. 방법은 챔버 내에서, 수직으로 배향된 측벽들, 복수의 수평으로 배향된 워드라인 (WL) 피처들로 이어지는 측벽들 내의 복수의 개구부들을 포함하는 3-D NAND 구조체를 제공하는 단계; 원자 층 증착 (Atomic Layer Deposition; ALD) 프로세스의 하나 이상의 사이클들을 수행함으로써 WL 피처들 내에 텅스텐 핵생성 층을 증착하는 단계를 포함하고, 사이클 각각은, 챔버 내에서 하나 이상의 붕소-함유 환원제 도즈들을 흘리는 단계, 챔버 내에서 하나 이상의 실란 도즈들을 흘리는 단계, 및 챔버 내에서 하나 이상의 붕소-함유 환원제 도즈들 및 하나 이상의 실리콘-함유 환원제 도즈들을 흘린 후, 챔버 내에서 하나 이상의 텅스텐-함유 전구체 펄스들을 흘리는 단계를 포함한다.
일부 실시 예들에서, 방법은 순차적인 반응 물질 도즈들 사이에 챔버를 퍼지하는 단계를 더 포함한다. 일부 실시 예들에서, 방법은 텅스텐 핵생성 층 상에 벌크 텅스텐 층을 증착하는 단계를 더 포함한다. 일부 실시 예들에서, 벌크 텅스텐 층은 환원제로서 수소 (H2) 를 사용하는 원자 층 증착 프로세스에 의해 증착된다. 일부 실시 예들에서, ALD 사이클 각각은 붕소-함유 환원제 도즈로 시작된다. 일부 실시 예들에서, ALD 사이클 각각은 실리콘-함유 환원제 도즈로 시작된다.
또 다른 양태는, 하나 이상의 프로세스 스테이션들을 포함하는 프로세스 챔버로서, 프로세스 스테이션 각각은 기판을 홀딩하도록 구성된 기판 지지부를 포함하는, 프로세스 챔버; 진공에 커플링하기 위한 적어도 하나의 유출구; 하나 이상의 프로세스 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입구들; 및 장치의 동작들을 제어하기 위한 제어기를 포함하고, 제어기는, 챔버 내에서 하나 이상의 붕소-함유 환원제 도즈들을 흘리고, 챔버 내에서 하나 이상의 실란 도즈들을 흘리고, 그리고 챔버 내에서 하나 이상의 붕소-함유 환원제 도즈들 및 하나 이상의 실리콘-함유 환원제 도즈들을 흘린 후, 챔버 내에서 하나 이상의 텅스텐-함유 전구체 펄스들을 흘리기 위한 머신-판독 가능 인스트럭션들을 포함하는, 장치에 관한 것이다.
다양한 실시 예들에 따라, 도즈들은 동일하거나 상이한 스테이션들에 있을 수도 있다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 기술된다.
도 1a 내지 도 1h는 다양한 실시 예들에 따라 텅스텐으로 충진될 수도 있는 피처들의 개략적인 예들을 도시한다.
도 2a 및 도 2b는 텅스텐 핵생성 층을 증착하기 위한 방법의 예시적인 사이클들을 도시하는 타이밍 시퀀스도 (timing sequence diagram) 의 예들을 도시한다.
도 3은 본 명세서에 기술된 방법들을 구현하기 위해 사용될 수도 있는 챔버로 가스 도즈들을 도입하기 위한 충전 볼륨들을 포함하는 장치의 개략적인 예를 도시한다.
도 4는 실시 예들에 따른 증착 프로세스들을 수행하기 적합한 프로세스 시스템의 개략적인 예를 도시한다.
도 5는 실시 예들에 따른 증착 프로세스들을 수행하기 적합한 프로세스 스테이션의 개략적인 예를 도시한다.
텅스텐으로 피처들을 충진하는 방법들 및 관련 시스템들과 장치가 본 명세서에 기술된다. 애플리케이션들의 예들은 로직 및 메모리 콘택트 충진, DRAM bWL (buried wordline) 충진, 수직으로 집적된 메모리 게이트/워드라인 충진, 및 TSV들 (Through-Silicon Vias) 과의 3D 집적을 포함한다. 본 명세서에 기술된 방법들은 텅스텐 비아들에서와 같은 수직 피처들, 및 VNAND (Vertical NAND) 워드라인들과 같은 수평 피처들을 충진하도록 사용될 수 있고, 방법들은 컨포멀한 (conformal) 충진 및 보텀-업 (bottom-up) 충진 또는 인사이드-아웃 (inside-out) 충진을 위해 사용될 수도 있다.
다양한 실시 예들에 따라, 피처들은 좁은 그리고/또는 재차 들어간 (re-entrant) 개구부들, 피처 내의 협폭부들 (constrictions), 및 고 종횡비들 중 하나 이상으로 특징지어질 수 있다. 충진될 수 있는 피처들의 예들은 도 1a 내지 도 1c에 도시된다. 도 1a는 텅스텐으로 충진될 수직 피처 (101) 의 단면도의 예를 도시한다. 피처는 기판 (103) 내에 피처 홀 (105) 을 포함할 수 있다. 기판은 유전체, 전도성 또는 반전도성 재료와 같은 재료의 하나 이상의 층들이 그 위에 증착된 웨이퍼들을 포함하는, 실리콘 웨이퍼, 예를 들어, 200 ㎜ 웨이퍼, 300 ㎜ 웨이퍼, 450 ㎜ 웨이퍼일 수도 있다. 일부 실시 예들에서, 피처 홀 (105) 은 적어도 약 2:1, 적어도 약 4:1, 적어도 약 6:1 또는 그 이상의 종횡비를 가질 수도 있다. 피처 홀 (105) 은 또한 개구부 근방 치수, 예를 들어, 약 10 ㎚ 내지 500 ㎚, 예를 들어 약 25 ㎚ 내지 300 ㎚의 개구부 직경 또는 라인 폭을 가질 수도 있다. 피처 홀 (105) 은 충진되지 않은 피처 또는 단순히 피처로 지칭될 수 있다. 피처, 및 임의의 피처는 수직 축들을 갖는 수직으로 배향된 피처들 및 수평 축들을 갖는 수평으로 배향된 피처들을 갖는, 피처의 길이를 통해 연장하는 축 (118) 에 의해 부분적으로 특징지어질 수도 있다.
도 1b는 재차 들어간 프로파일을 갖는 피처 (101) 의 예를 도시한다. 재차 들어간 프로파일은 피처의 하단부, 폐쇄된 단부, 또는 내부로부터 피처 개구부로 좁아지는 프로파일이다. 다양한 실시 예들에 따라, 프로파일은 점진적으로 좁아질 수도 있고 그리고/또는 피처 개구부에 오버행 (overhang) 을 포함할 수도 있다. 도 1b는 피처 홀 (105) 의 측벽 또는 내부 표면들을 라이닝하는 하부 층 (113) 을 갖는, 후자의 예를 도시한다. 하부 층 (113) 은 예를 들어, 확산 배리어 층, 접착 층, 핵생성 층, 이의 조합, 또는 임의의 다른 적용 가능한 재료일 수 있다. 하부 층 (113) 은 하부 층 (113) 이 피처 (101) 내부보다 피처 (101) 의 개구부에서 보다 두껍도록 오버행 (115) 을 형성한다.
일부 실시 예들에서, 피처 내부에 하나 이상의 협폭부들을 갖는 피처들이 충진될 수도 있다. 도 1c는 협폭부들을 갖는 다양한 충진된 피처들의 도면들의 예들을 도시한다. 도 1c의 예들 (a), (b) 및 (c) 각각은 피처 내 중간 지점에 협폭부 (109) 를 포함한다. 협폭부 (109) 는 예를 들어, 약 15 ㎚ 내지 20 ㎚ 폭일 수 있다. 협폭부들은 종래의 기법들을 사용하여 피처 내 텅스텐의 증착 동안 핀치 오프 (pinch off) 를 유발할 수 있고, 추가 증착을 차단하는 증착된 텅스텐이 피처의 일부가 충진되기 전 협폭부를 넘어가 (past), 피처 내에 보이드들을 발생시킨다. 예 (b) 는 피처 개구부에서 라이너/배리어 오버행 (115) 을 더 포함한다. 이러한 오버행은 잠재적인 핀치 오프 지점일 수 있다. 예 (c) 는 예 (b) 의 오버행 (115) 보다 필드 영역으로부터 더 멀어진 협폭부 (112) 를 포함한다. 이하에 더 기술된 바와 같이, 본 명세서에 기술된 방법들은 도 1c에 도시된 바와 같이 보이드-프리 (void-free) 충진을 허용한다.
3D 메모리 구조체들 내와 같이, 수평 피처들이 또한 충진될 수 있다. 도 1d는 협폭부 (151) 를 포함하는 VNAND 구조체 (148) 내의 워드라인 (150) 의 예를 도시한다. 일부 실시 예들에서, 협폭부들은 VNAND 또는 다른 구조체의 필라들 (pillars) 의 존재로 인한 것일 수 있다. 예를 들어, 도 1e는 VNAND 구조체 내의 필라들 (125) 의 평면도를 도시하고, 도 1f는 필라들 (125) 의 단면도의 간략화된 개략도를 도시한다. 도 1e의 화살표들은 증착 재료를 나타내고, 필라들 (125) 이 영역 (127) 과 가스 유입구 또는 다른 증착 소스 사이에 배치될 때, 인접한 필라들은 영역 (127) 의 보이드-프리 충진에 문제들을 제시하는 협폭부들을 발생시킬 수 있다.
도 1g는 예를 들어, 필라 협폭부들 (151) 을 포함하는 VNAND 또는 다른 구조체의 수평 피처 도면의 또 다른 예를 제공한다. 도 1g의 예는 개방형 (open-ended) 이고, 증착될 재료는 화살표들로 나타낸 바와 같이 2 개의 측면들로부터 측방향으로 들어갈 수 있다. (도 1g의 예는 구조체의 3D 피처들의 2D 렌더링으로 보일 수 있고, 도 1g는 충진될 영역의 단면도이고 도면에 도시된 필라 협폭부들이 단면도보다는 평면도에서 볼 수 있는 협폭부들을 나타낸다는 것을 주의해야 한다.) 일부 실시 예들에서, 3D 구조체들은 (예를 들어, 도 1f의 예에서 X 방향, Y 방향 및 Z 방향으로) 3 차원들을 따라 연장하는 충진될 영역으로 특징지어질 수 있고, 1 차원 또는 2 차원을 따라 연장하는 홀들 또는 트렌치들을 충진하는 것보다 충진에 보다 많은 문제들을 제시할 수 있다. 예를 들어, 3D 구조체의 충진을 제어하는 것은 증착 가스들이 복수의 차원들로부터 피처로 들어갈 수도 있기 때문에 어려울 수 있다. 방법들은 또한 상호 접속부 피처들 (170) 이 텅스텐 워드라인들 (172) 에 연결하기 위해 텅스텐으로 충진될 수도 있는, 도 1h에 도시된 바와 같이 텅스텐 워드라인들에 대한 상호 접속부들을 충진하도록 사용될 수도 있다. 수평으로 배향된 피처들 및 수직으로 배향된 피처들에 대한 피처 충진의 예들은 이하에 기술된다. 대부분의 경우들에서, 이 예들은 수평으로 배향된 피처들 또는 수직으로 배향된 피처들 모두에 적용 가능하다는 것을 주의해야 한다.
피처 내 재료의 분포는 단차 커버리지 (step coverage) 에 의해 특징지어질 수도 있다. 이 기술 (description) 의 목적들을 위해, "단차 커버리지"는 2 개의 두께들, 예를 들어, 피처 내부의 재료의 두께를 개구부 근방의 재료의 두께로 나눈 비로서 규정된다. 이 기술의 목적들을 위해, 용어 "피처 내부"는 피처의 축을 따라 피처의 중간 지점 주위에 위치된 피처의 중간 부분, 예를 들어, 피처의 개구부로부터 측정된 피처의 깊이를 따른 거리의 약 25 % 내지 75 %, 또는 특정한 실시 예들에서, 거리의 약 40 % 내지 60 % 영역, 또는 개구부로부터 측정될 때 피처의 축을 따른 거리의 약 75 % 내지 95 %에 위치된 피처의 단부 부분을 나타낸다. 용어 "피처의 개구부 근방" 또는 "피처 개구부 근방"은 개구부의 에지 또는 개구부의 에지를 나타내는 다른 엘리먼트의 25 % 내에 또는 보다 구체적으로 10 % 내에 위치된 피처의 상단 부분을 나타낸다. 100 % 이상의 단차 커버리지는 예를 들어, 피처 개구부에서보다 피처의 중간 또는 하단 근방에서 보다 넓은 피처를 충진함으로써 또는 개구부 상 또는 개구부 근방보다 두꺼운 막이 피처 내에 증착되는 경우에 달성될 수 있다.
본 명세서에 기술된 방법들은 벌크 층의 증착 전 텅스텐 핵생성 층의 증착을 수반한다. 핵생성 층은 통상적으로 그 위에 벌크 텅스텐-함유 재료의 후속 증착을 용이하게 하는 박형의 컨포멀한 층이다. 다양한 실시 예들에 따라, 핵생성 층은 피처의 임의의 충진 전에 그리고/또는 텅스텐 또는 텅스텐-함유 재료로 피처를 충진하는 동안 후속 지점들에서 증착될 수도 있다.
특정한 구현 예들에서, 핵생성 층은 반응 챔버로부터 환원제, 선택 가능한 (optional) 퍼지 가스들, 및 텅스텐-함유 전구체의 펄스들을 순차적으로 주입하여 증착된다. 프로세스는 목표된 두께가 달성될 때까지 순환적 방식으로 반복된다. 핵생성 층 두께는 핵생성 층 증착 방법뿐만 아니라 벌크 증착의 목표된 품질에 종속될 수 있다. 일반적으로, 핵생성 층 두께는 고품질, 균일한 벌크 증착을 지지하기에 충분하다. 예들은 5 Å 내지 100 Å, 또는 12 Å 내지 50 Å의 범위일 수도 있다.
ALD (Atomic Layer Deposition) 기법들은 반응 물질들이 함께 도입되는 CVD (Chemical Vapor Deposition) 기법들과 상이하다. 특정한 실시 예들에서, 핵생성 층은 환원제, 선택 가능한 퍼지 가스들, 및 텅스텐 함유 전구체의 펄스들이 반응 챔버 내로 순차적으로 주입되고 반응 챔버로부터 퍼지되는, ALD 프로세스에 의해 증착된다. 프로세스는 목표된 두께가 달성될 때까지 순환적 방식으로 반복된다.
우수한 단차 커버리지, 저 저항률, 및 우수한 충진을 달성하는 텅스텐 핵생성 층을 증착하는 방법들이 본 명세서에 기술된다. 방법들은 ALD 프로세스에서 텅스텐-함유 전구체와 반응하도록 붕소-함유 환원제 및 실리콘-함유 환원제 모두를 사용하는 것을 수반한다.
ALD 텅스텐 프로세스들은 2 개 이상의 공 반응 물질들 (co-reactants) 의 순차적인 전달에 의해 인에이블된 (enable) 2 개의 반-반응들 (half-reactions) 을 사용할 수도 있다. 일 공 반응 물질은 표면을 기능화하도록 (functionalize) 작용하고, 텅스텐-함유 종의 기판으로의 흡착을 허용한다. 후속하는 사이클들은 컨포멀한 박막의 증착을 발생시킨다.
일부 실시 예들에서, 붕소-함유 환원제와 실리콘-함유 환원제의 혼합물은 텅스텐-함유 전구체와 교대로 펄싱된다. 일 ALD 사이클은 (B+S)/퍼지/W/퍼지로 표현될 수도 있고, B는 붕소-함유 환원제 (예를 들어, 디보란, B2H6) 이고, S는 실리콘-함유 환원제 (예를 들어, 실란, SiH4)) 이고, 그리고 W는 텅스텐-함유 전구체 (예를 들어, WF6) 이다. 반응 물질 펄스들 사이의 퍼지가 수행될 수도 있고, 아르곤 (Ar) 또는 다른 불활성 가스가 퍼지에 사용된다.
일부 실시 예들에서, 일 사이클을 B/S/퍼지/W/퍼지로서 또는 S/B/퍼지/W/퍼지로서 나타낼 수도 있도록 붕소-함유 환원제 및 실리콘-함유 환원제의 펄스들은 사이클로 순차적으로 전달된다. 다양한 실시 예들에 따라, B 펄스와 S 펄스 사이에 퍼지가 있을 수도 있고 없을 수도 있다. 따라서, 사이클은 B/퍼지/S/퍼지/W/퍼지 또는 S/퍼지/B/퍼지/W/퍼지로서 나타낼 수도 있다.
붕소-함유 환원제 및 실리콘-함유 환원제 모두를 사용함으로써, 발생하는 텅스텐은 실리콘-함유 환원제 단독 (only) 프로세스들보다 저 저항률을 갖고 붕소-함유 환원제 단독 프로세스들보다 낮은 보이드 백분율 및 응력을 갖는다. 붕소-단독 프로세스로부터의 큰 입자들은 저 저항률을 발생시키지만, 두 개의 텅스텐 표면들이 합쳐질 (come together) 때 보이드들을 유발한다. 실리콘-함유 환원제를 사용하는 것은 저 저항률을 희생하지 않고 보이드들을 완화시킨다. 보다 우수한 단차 커버리지 및 보다 낮은 보이드 백분율은 라인 벤딩 및 응력을 감소시킨다.
기판 온도들은 붕소-함유 환원제의 분해를 억제하기에 충분히 낮고, 약 350 ℃ 미만, 예를 들어 약 250 ℃ 내지 300 ℃일 수도 있다. 이들 상대적으로 저온들에서도, 디보란은 분해되기 쉽다. B2H6는 ALD 사이클 동안 분해될 수 있고 (예를 들어, B2H6 → 2/3B3 + 3H2), 이는 프로세스의 단차 커버리지를 저하시킨다. B2H6 및 SiH4를 사용함으로써, B2H6의 분해가 억제되어, 단차 커버리지를 개선한다. 실란을 사용한 증착은 통상적으로 보다 높은 온도를 사용한다; 그러나, 실란에 사용된 온도는 또한 붕소-함유 환원제의 사용으로 하강될 수 있다.
챔버 압력의 예들은 10 torr 내지 60 torr, 또는 10 torr 내지 40 torr이다. 일부 실시 예들에서, 이는 10 torr 이상이다. 이는 또한 예를 들어, 불소 혼입을 감소시키기 위해 10 torr 이하일 수도 있다.
도 2a 및 도 2b는 텅스텐 핵생성 층을 증착하기 위한 방법의 예시적인 사이클들을 도시하는 예시적인 타이밍 시퀀스도를 도시하고, 도 2a는 디보란 및 실란의 공류 (co-flow) 를 도시하고, 도 2b는 순차적인 연속적인 반응 물질 펄스들로 전달된 디보란 및 실란을 도시한다.
도 2a 및 도 2b의 타이밍도들에 대한 다양한 수정들이 이루어질 수도 있다. 일부 실시 예들에서, 수소는 디보란 펄스 및/또는 실란 펄스 동안 흐를 수도 있다. 디보란 펄스 동안 흐르는 수소는 분해를 더 억제할 수 있고, 단차 커버리지에 도움이 될 수 있다.
도 2b에서, 디보란 펄스 및 실란 펄스 중 하나 또는 모두는 멀티 펄스들일 수도 있다. 예를 들어, 도 2b는 (퍼지들을 포함하지 않는) B/S/W를 도시하지만, 사이클은 또한 x(B)/y(S)/z(W) 일 수 있고, 여기서 x, y, 및 z는 0보다 큰 정수들이다. x가 2이고, y 및 z가 1이면, 시퀀스는 펄스들 사이에 선택 가능한 퍼지들과 함께 B/B/S/W 일 것이다. 이러한 방식으로, 붕소 대 실리콘의 비가 튜닝될 수도 있다.
유사하게, 실란이 처음, 예를 들어, x(S)/y(B)/z(W)일 수도 있고, 여기서 x, y, 및 z는 0보다 큰 정수들이다. 일부 실시 예들에서, 복수의 붕소-함유 환원제 또는 실리콘-함유 환원제 펄스들은 다른 환원제의 펄스들 (예를 들어, B/S/B/S/W) 과 산재될 수도 있다.
또한, 일부 실시 예들에서, 하나 이상의 텅스텐-함유 전구체 펄스들은 사이클 각각에서 환원제 펄스들에 선행할 수도 있다.
텅스텐-함유 전구체는 텅스텐 플루오라이드들 (예를 들어, WF6) 및 텅스텐 클로라이드들 (예를 들어, WCl5 및 WCl6) 을 포함하는 붕소-함유 환원제에 의해 환원될 수 있는 텅스텐 할라이드일 수도 있다. 디보란이 붕소-함유 환원제로서 상기 기술되었지만, 방법은 다른 붕소-함유 환원제들로 구현될 수도 있다. 예들은 헥사보란 및 트리보란을 포함한다. 실란이 붕소-함유 환원제로서 상기 기술되었지만, 방법은 다른 실리콘-함유 환원제들로 구현될 수도 있다. 예들은 디실란을 포함한다.
붕소 및/또는 실리콘은 일반적으로 인식 가능한 레벨들로 텅스텐 막 내로 혼입되지 않는다는 것을 주의해야 한다.
벌크 증착
핵생성 층 증착 후, 피처는 벌크 텅스텐 층으로 충진될 수도 있다. 일부 구현 예들에서, 텅스텐 벌크 증착은 환원제 및 텅스텐-함유 전구체가 피처 내에 벌크 충진 층을 증착하기 위해 증착 챔버 내로 흐르는 CVD 프로세스에 의해 발생할 수 있다. 일부 구현 예들에서, 텅스텐 벌크 증착은 환원제 및 텅스텐-함유 전구체가 피처 내에 벌크 충진 층을 증착하기 위해 증착 챔버 내로 순차적으로 도입되는 ALD 프로세스에 의해 발생할 수 있다. CVD가 사용된다면, 이 동작은 목표된 양이 증착될 때까지 반응 물질들을 연속적으로 흘리는 것을 수반할 수 있다. 특정한 구현 예들에서, CVD 동작은 방향 전환된 (divert) 하나 이상의 반응 물질 플로우들의 기간들에 의해 분리된 반응 물질들의 연속적 플로우 및 동시 플로우의 복수의 기간들을 갖는, 복수의 단계들로 발생할 수도 있다. 또한, 텅스텐 성장 및/또는 에칭의 억제는 피처 충진 동안 수행될 수도 있다.
이로 제한되지 않지만, WF6, WCl6, 및 W(CO)6을 포함하는 다양한 텅스텐-함유 가스들이 텅스텐-함유 전구체로 사용될 수 있다. 특정한 구현 예들에서, 텅스텐-함유 전구체는 WF6와 같은 할로겐-함유 화합물이다. 특정한 구현 예들에서, 환원제는 수소 가스이지만, 실란 (SiH4), 디실란 (Si2H6), 하이드라진 (N2H4), 디보란 (B2H6) 및 게르만 (GeH4) 을 포함하는 다른 환원제들이 사용될 수도 있다. 많은 구현 예들에서, 수소 가스는 CVD 프로세스에서 환원제로 사용된다. 일부 다른 구현 예들에서, 벌크 텅스텐 층을 형성하기 위해 분해될 수 있는 텅스텐 전구체가 사용될 수 있다. 벌크 증착은 또한 ALD 프로세스들을 포함하는 다른 타입들의 프로세스들을 사용하여 발생할 수도 있다.
증착은 특정한 피처 프로파일이 달성되고 그리고/또는 특정한 양의 텅스텐이 증착될 때까지 다양한 구현 예들에 따라 진행될 수도 있다. 일부 구현 예들에서, 증착 시간 및 다른 관련된 파라미터들은 모델링 및/또는 시행착오에 의해 결정될 수도 있다. 예를 들어, 핀치 오프될 때까지 텅스텐이 피처에 컨포멀하게 증착될 수 있는 인사이드-아웃 충진 프로세스를 위한 초기 증착에 대해, 핀치 오프를 달성할 텅스텐 두께 및 대응하는 증착 시간을 피처 치수들에 기초하여 결정하는 것은 간단할 수도 있다. 일부 구현 예들에서, 프로세스 챔버가 증착 동작의 엔드-포인트 검출을 위해 인-시츄 (in-situ) 계측 측정들을 수행하도록 다양한 센서들을 구비할 수도 있다. 인-시츄 계측의 예들은 증착된 막들의 두께를 결정하기 위해 광학 현미경 및 XRF (X-Ray Fluorescence) 를 포함한다.
본 명세서에 기술된 텅스텐 막들이 사용된 특정한 전구체들 및 프로세스들에 따라, 일부 양의 다른 화합물들, 도펀트들 (dopants) 및/또는 질소, 탄소, 산소, 붕소, 인, 황, 실리콘, 게르마늄 등과 같은 불순물들을 포함할 수도 있다는 것이 이해되어야 한다. 막 내의 텅스텐 함량은 20 % 내지 100 % (원자) 텅스텐 범위일 수도 있다. 많은 구현 예들에서, 막들은 텅스텐-풍부 (tungsten-rich) 이고, 적어도 50 % (원자) 텅스텐, 또는 심지어 적어도 약 60 %, 75 %, 90 %, 또는 99 % (원자) 텅스텐을 갖는다. 일부 구현 예들에서, 막들은 금속 또는 원소 텅스텐 (W), 및 텅스텐 카바이드 (WC), 텅스텐 나이트라이드 (WN), 등과 같은 다른 텅스텐-함유 화합물들의 혼합물일 수도 있다.
이들 재료들의 CVD 및 ALD 증착은 임의의 적절한 전구체들을 사용하는 것을 포함할 수 있다. 예를 들어, 텅스텐 나이트라이드의 CVD 및 ALD 증착은 할로겐-함유 및 할로겐-프리 (halogen-free) 텅스텐-함유 화합물 그리고 질소-함유 화합물을 사용하는 것을 포함할 수 있다.
실험
텅스텐 핵생성 층들의 ALD는 프로세스 A 및 프로세스 B를 사용하는 3D-NAND 구조체들에서 수행되었다. 보이드 백분율은 다양한 워드라인 (WL) 높이들에서 측정되었다. ALD 텅스텐 핵생성 프로세스는 모든 프로세스들에 대해 동일한 벌크 텅스텐 증착 프로세스로 이어진다.
프로세스 A: (B2H6 - Ar 퍼지 - WF6 - Ar 퍼지) 의 복수의 사이클들
프로세스 B: (B2H6 - Ar 퍼지 - SiH4 - Ar 퍼지 - WF6) 의 복수의 사이클들
Figure pct00001
상기 표로부터 알 수 있는 바와 같이, 실란 및 디보란을 사용하는 것은 상당히 감소된 보이드 백분율을 발생시킨다. 프로세스 C: (B2H6 + SiH4 혼합물 - Ar 퍼지 - WF6) 의 복수의 사이클들이 사용되었고, 붕소-단독의 9.7 %와 비교하여, 7.7 %의 평균 보이드 백분율을 발생시켰다.
프로세스 세부사항들은 아래 표에 도시된다.
Figure pct00002
막 특성들은 핵생성 층을 증착하기 위해 프로세스 D, 프로세스 E, 또는 프로세스 F를 사용하여 평면 기판 상에 증착된 막들에 대해 측정되었다. 벌크 증착은 모든 프로세스에서 동일하다.
프로세스 D: (B2H6 - Ar 퍼지 - WF6 -Ar 퍼지) 의 복수의 사이클들; 핵생성 층 동안 225 ℃의 기판 온도
프로세스 E: (B2H6 - Ar 퍼지 - SiH4 - Ar 퍼지 - WF6) 의 복수의 사이클들; 핵생성 층 동안 300 ℃의 기판 온도
프로세스 F: (B2H6 - Ar 퍼지 - SiH4 - Ar 퍼지 - WF6) 의 복수의 사이클들; 핵생성 층 동안 275 ℃의 기판 온도
프로세스 세부사항들은 아래 표에 도시된다.
Figure pct00003
막 (핵생성 + 벌크) 특성들은 아래 표에 도시된다.
Figure pct00004
상기 표의 결과들은 핵생성 층을 형성하기 위해 붕소-함유 환원제 및 실리콘-함유 환원제를 사용함으로써 막 저항률이 개선된다는 것을 보여준다. 충진을 절충하지 (compromise) 않고 온도를 감소시킴으로써 응력이 낮아질 수 있다.
장치
임의의 적합한 챔버가 개시된 실시 예들을 구현하도록 사용될 수도 있다. 예시적인 증착 장치들은 다양한 시스템들, 예를 들어, California, Fremont 소재의 Lam Research Corp. 로부터 입수 가능한 ALTUS® 및 ALTUS® Max, 또는 임의의 다양한 다른 상업적으로 입수 가능한 프로세싱 시스템들을 포함한다. 일부 실시 예들에서, 원자 층 증착 (ALD) 이 단일 증착 챔버 내에 위치된 2 개, 5 개, 또는 훨씬 보다 많은 증착 스테이션들 중 하나인 제 1 스테이션에서 수행될 수도 있다. 따라서, 예를 들어, 디보란 (B2H6)/실란 (SiH4) 이 공류하고, 텅스텐 헥사플루오라이드 (WF6) 가 기판 표면에서 국부화된 대기를 생성하는 개별 가스 공급 시스템을 사용하여 제 1 스테이션에서 반도체 기판의 표면에 교번하는 펄스들로 도입될 수도 있다. 또 다른 스테이션이 텅스텐 벌크 층 증착을 위해 사용될 수도 있다. 2 개 이상의 스테이션들이 병렬 프로세싱으로 텅스텐을 증착하도록 사용될 수도 있다. 대안적으로 동작들이 2 개 이상의 스테이션들에 걸쳐 순차적으로 수행되도록 웨이퍼가 인덱싱될 수도 있다. 또 다른 예에서, 디보란, 실란, 및 텅스텐-전구체 도즈들은 핵생성 층을 증착하기 위해 제 1 스테이션에서, 선택 가능하게 (optionally) 퍼지들에 의해 분리되어, 순차적으로 도입될 수도 있다. 또한, 이들 반응 물질 펄스들 중 하나 이상은 텅스텐 핵생성 층 증착이 복수의 스테이션들에서 수행되도록 하나 이상의 부가적인 스테이션들에서 수행될 수도 있다.
일부 실시 예들에서, 충전 볼륨들 (또한 충전 용기들로 지칭됨) 은 챔버에 가스 도즈들을 도입하도록 사용된다. 도 3은 실란 및 디보란에 대해 별도의 충전 볼륨들이 사용되는, 이러한 시스템의 예를 도시한다. 이는 실란 및 디보란으로 하여금 챔버로 개별적으로 도입되게 하고 (공류하거나 순차적인 도즈들), 도입 전에 반응들이 발생하는 것을 방지한다.
도 4는 실시 예들에 따른 증착 프로세스들을 수행하기 적합한 프로세스 시스템의 개략도이다. 시스템 (400) 은 이송 모듈 (403) 을 포함한다. 이송 모듈 (403) 은 다양한 반응기 모듈들 사이에서 이동될 때 프로세싱될 기판들의 오염 위험을 최소화하도록 세정, 가압된 분위기를 제공한다. 다양한 실시 예들에 따라 ALD 및 CVD를 수행할 수 있는 멀티-스테이션 반응기 (409) 가 이송 모듈 (403) 상에 장착된다. 멀티-스테이션 반응기 (409) 는 개시된 실시 예들에 따른 동작들을 순차적으로 수행할 수도 있는 복수의 스테이션들 (411, 413, 415, 및 417) 을 포함할 수도 있다. 예를 들어, 멀티-스테이션 반응기 (409) 는 스테이션 (411) 이 염소-함유 텅스텐 전구체 또는 불소-함유 전구체를 사용하여 텅스텐 핵생성 층 증착을 수행하고, 스테이션 (413) 이 다양한 실시 예들에 따른 ALD 텅스텐 증착 동작을 수행하도록 구성될 수도 있다. 일부 실시 예들에서, 스테이션 (415) 은 또한 ALD 텅스텐 증착 동작을 수행할 수도 있고, 스테이션 (417) 은 CVD 동작을 수행할 수도 있다.
스테이션들은 가열된 페데스탈 또는 기판 지지부, 하나 이상의 가스 유입구들 또는 샤워헤드 또는 확산 플레이트 (dispersion plate) 를 포함할 수도 있다. 기판 지지부 (502) 및 샤워헤드 (503) 를 포함하는, 증착 스테이션 (500) 의 일 예가 도 5에 도시된다. 히터가 페데스탈 부분 (501) 에 제공될 수도 있다.
도 4를 다시 참조하면, 플라즈마 또는 화학적 (비플라즈마) 사전-세정들, 다른 증착 동작들, 또는 에칭 동작들을 수행할 수 있는 하나 이상의 단일 스테이션 모듈들 또는 멀티-스테이션 모듈들 (407) 이 또한 이송 모듈 (403) 상에 장착될 수도 있다. 모듈은 또한 예를 들어, 증착 프로세스를 위해 기판을 준비하도록 다양한 처리들에 사용될 수도 있다. 시스템 (400) 은 또한 웨이퍼들이 프로세싱 전후에 저장되는, 하나 이상의 웨이퍼 소스 모듈들 (401) 을 포함한다. 대기 이송 챔버 (419) 의 대기 로봇 (미도시) 이 소스 모듈들 (401) 로부터 로드록들 (421) 로 웨이퍼들을 먼저 제거할 수도 있다. 이송 모듈 (403) 의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 가 로드록들 (421) 로부터 이송 모듈 (403) 상에 장착된 모듈들로 그리고 이들 사이에서 웨이퍼들을 이동시킨다.
다양한 실시 예들에서, 시스템 제어기 (429) 가 증착 동안 프로세스 조건들을 제어하도록 채용된다. 제어기 (429) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서가 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
제어기 (429) 는 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (429) 는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, 무선 주파수 (Radio Frequency; RF) 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는, 시스템 제어 소프트웨어를 실행한다. 일부 실시 예들에서 제어기 (429) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채용될 수도 있다.
통상적으로 제어기 (429) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 구성되거나 설계될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩될 수도 있고, 또는 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들, 주문형 집적 회로 (application-specific integrated circuits), 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들에 하드 코딩된 로직을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독 가능 프로그램 언어로 코딩될 수도 있다.
프로세스 시퀀스의 게르마늄-함유 환원제 펄스들, 수소 플로우, 및 텅스텐-함유 전구체 펄스들, 그리고 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran, 등으로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드 코딩될 수도 있다.
제어기 파라미터들은 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도, 및 챔버 벽 온도와 같은, 프로세스 조건들에 관련된다. 이들 파라미터들은 레시피의 형태로 사용자들에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기 (429) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치 (400) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 개시된 실시 예들에 따른 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 및 히터 제어 코드를 포함한다.
일부 구현 예들에서, 제어기 (429) 는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 제어기 (429) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 일부 시스템들에서 RF (무선 주파수) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (429) 는, 일부 구현 예들에서, 시스템에 포함되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기 (429) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
제어기 (429) 는 다양한 프로그램들을 포함할 수도 있다. 기판 포지셔닝 프로그램은 기판을 페데스탈 또는 척 상으로 로딩하도록 그리고 기판과 가스 유입구 및/또는 타깃과 같은 챔버의 다른 부분들 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성, 플로우 레이트들, 펄스 시간들을 제어하기 위한, 그리고 선택 가능하게 챔버 내 압력을 안정화하기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템의 쓰로틀 밸브 (throttle valve) 를 조절함으로써 챔버의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼 척으로 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 챔버 센서들의 예들은 질량 유량 제어기들, 마노미터들 (manometers) 과 같은 압력 센서들, 및 페데스탈 또는 척에 위치된 써모커플들 (thermocouples) 을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들은 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
장치는 도 4에서 개략적으로 도시된 바와 같이 다양한 가스 분배 라인들에 라인 충전들을 제공하는, 가스 매니폴드 시스템을 포함할 수도 있다. 매니폴드 (404) 는 텅스텐-함유 전구체 가스 (미도시) 의 소스로부터 입력 (402) 을 갖고, 매니폴드 (411) 는 수소 또는 다른 환원 가스 (미도시) 의 소스로부터 입력 (409) 을 갖고, 매니폴드 (421) 는 불활성 퍼지 가스 (미도시) 의 소스로부터 입력 (419) 을 갖는다. 매니폴드들 (404, 411 및 421) 은 텅스텐-함유 전구체 가스, 환원 가스 및 퍼지 가스를 각각 밸브 연결된 (valved) 분배 라인들 (405, 413 및 425) 을 통해 증착 챔버로 제공한다. 다양한 밸브들은 라인 충전을 제공하도록, 즉, 분배 라인들을 가압하도록 개방되거나 폐쇄된다. 예를 들어, 분배 라인 (405) 을 가압하기 위해, 밸브 (406) 는 진공으로 폐쇄되고, 밸브 (408) 는 폐쇄된다. 적합한 시간 증분 후, 밸브 (408) 가 개방되고, 텅스텐-함유 전구체 가스는 챔버로 전달된다. 벌크 층의 ALD 증착 동안 텅스텐 전구체를 고압으로 (예를 들어, 400 Torr로) 충전하는 것은 일부 실시 예들에서 저항률을 개선할 수 있다. 가스의 전달을 위한 적합한 시간 후, 밸브 (408) 가 폐쇄된다. 이어서 챔버는 진공으로의 밸브 (406) 의 개방에 의해 진공으로 퍼지될 수 있다.
유사한 프로세스들이 환원 가스 및 퍼지 가스를 전달하도록 사용된다. 환원 가스를 도입하기 위해, 예를 들어, 분배 라인 (413) 은 밸브 (415) 를 폐쇄하고 진공으로의 밸브 (417) 를 폐쇄함으로써 충전된다. 밸브 (415) 의 개방은 환원 가스의 챔버로의 전달을 허용한다. 유사하게, 퍼지 가스를 도입하기 위해, 분배 라인 (425) 은 밸브 (427) 를 폐쇄하고 진공으로의 밸브 (423) 를 폐쇄함으로써 충전된다. 밸브 (427) 의 개방은 아르곤 또는 다른 불활성 퍼지 가스의 챔버로의 전달을 허용한다. 라인 충전들에 대해 허용된 시간 양은 가스의 최초 전달의 양 및 타이밍을 변화시킨다.
도 4는 또한 밸브들 (406, 417 및 423) 각각이 시스템을 퍼지하도록 개방될 수 있는, 진공 펌프들을 도시한다. 다양한 분배 라인들을 통한 가스의 공급은 플로우 레이트들, 플로우의 지속 기간, 및 프로세스들의 시퀀스로 프로그래밍되는 마이크로프로세서, 디지털 신호 프로세서, 등에 의해 제어되는, 질량 유량 제어기와 같은 제어기에 의해 제어된다.
상기 기술된 프로세스들은 증착 동안 반도체 기판에 시약의 펄스들을 공급하는 밸브들 및 MFC들 (질량 유량 제어기들) 의 정밀한 타이밍을 필요로 할 수도 있다는 것을 주의한다. 이를 가능하게 하는 일 방식에서, 밸브 및 MFC 커맨드들이 증착 시퀀스의 전부 또는 일부에 대해 모든 시간 임계 커맨드들에 대한 인스트럭션들을 포함하는 정보의 이산 패킷들로 임베딩된 (embedded) 디지털 입력-출력 제어기들 (Input-Output Controllers; IOC) 에 전달된다. Lam Research의 ALTUS 시스템들은 적어도 하나의 IOC 시퀀스를 제공한다. IOC들은 장치 내의 다양한 지점들; 예를 들어, 프로세스 모듈 내 또는 프로세스 모듈로부터 어느 정도 이격된 독립형 전력 랙 상에 물리적으로 위치될 수 있다. 모듈 각각에 복수의 IOC들 (예를 들어, 모듈 당 3 개) 이 있을 수도 있다. 시퀀스에 포함된 실제 인스트럭션들에 대해, 밸브들을 제어하고 (모든 캐리어 및 반응 물질 가스들에 대해) MFC들에 대한 플로우를 설정하기 위한 모든 커맨드들이 단일 IOC 시퀀스에 포함될 수도 있다. 이는 모든 디바이스들의 타이밍이 절대적인 관점으로부터 그리고 또한 서로 상대적으로 엄격하게 제어된다는 것을 보장한다. 통상적으로 임의의 주어진 시간에 실행하는 복수의 IOC 시퀀스들이 있다. 이는 말하자면, ALD로 하여금 이들 스테이션들에서 ALD-W 핵생성 층을 증착하기 위해 필요한 모든 하드웨어 컴포넌트들에 대해 제어된 모든 타이밍으로 스테이션 1 및 스테이션 2에서 실행되게 한다. 제 2 시퀀스가 동일한 모듈의 다른 증착 스테이션들에서 상기 기술된 타이밍 시퀀스를 사용하여 텅스텐 벌크를 증착하도록 동시에 실행될 수도 있다. 스테이션 3 및 스테이션 4로 시약들의 전달을 제어하는 디바이스들의 상대적인 타이밍은 디바이스들의 그룹에서 중요하지만, 스테이션 1 및 스테이션 2에서 ALD 프로세스의 상대적인 타이밍이 스테이션 3 및 스테이션 4의 상대적인 타이밍으로부터 오프셋될 수 있다. IOC는 패킷화된 시퀀스로 정보를 변환하고 디지털 또는 아날로그 커맨드 신호들을 밸브들을 제어하는 MFC 또는 공압 솔레노이드 뱅크들에 직접 전달한다.
텅스텐-함유 가스의 펄스는 다음과 같이 생성될 수도 있다. 처음에, 시스템은 MFC 또는 다른 플로우-제어 디바이스가 안정화되는 동안 시간의 기간 동안 진공 펌프로 WF6를 방향 전환한다. 이는 일 예에서 약 0.5 내지 5 초의 기간 동안 수행될 수도 있다. 다음에, 시스템은 방향 전환 유출구 (406) 및 유출구 (408) 모두를 증착 챔버에 대해 폐쇄함으로써 텅스텐 가스 전달 매니폴드를 가압한다. 이는 예를 들어, 증착 챔버로의 유출구가 개방될 때 시약의 최초 버스트 (burst) 를 생성하기 위해 약 0.1 내지 5 초의 기간 동안 수행될 수도 있다. 이는 일 예에서 약 0.1 내지 10 초 동안 유출구 밸브 (408) 를 개방함으로써 달성된다. 그 후, 텅스텐-함유 가스는 적합한 퍼지 가스를 사용하여 증착 챔버로부터 퍼지된다. 다른 시약들의 펄싱된 플로우는 유사한 방식으로 수행될 수도 있다.
전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴의 개시된 실시 예들의 구현 예를 기술한다. 본 명세서에 기술된 장치 및 프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들, 등의 제조 또는 제작을 위해 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 단계 각각이 다수의 가능한 툴들과 함께 제공된, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 퍼니스 (furnace) 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계 중 일부 또는 전부를 포함한다.
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 세부사항들로 한정되지 않을 것이다.

Claims (17)

  1. 챔버 내에 피처를 포함하는 기판을 제공하는 단계;
    원자 층 증착 (Atomic Layer Deposition; ALD) 프로세스의 하나 이상의 사이클들을 수행함으로써 피처 내에 텅스텐 핵생성 층을 증착하는 단계를 포함하고, 사이클 각각은,
    상기 챔버 내에서 하나 이상의 붕소-함유 환원제 도즈들을 흘리는 단계,
    상기 챔버 내에서 하나 이상의 실리콘-함유 환원제 도즈들을 흘리는 단계로서, 상기 하나 이상의 붕소-함유 환원제 도즈들 및 상기 하나 이상의 실리콘-함유 환원제 도즈들은 순차적인 반응 물질 도즈들인, 상기 하나 이상의 실리콘-함유 환원제 도즈들을 흘리는 단계, 및
    상기 챔버 내에서 상기 하나 이상의 붕소-함유 환원제 도즈들 및 상기 하나 이상의 실리콘-함유 환원제 도즈들을 흘린 후, 상기 챔버 내에서 하나 이상의 텅스텐-함유 전구체 펄스들을 흘리는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 피처는 3D NAND 구조체의 워드라인 (WL) 피처이고, 상기 3D NAND 구조체는 수직으로 배향된 측벽들을 포함하고, 상기 측벽들 내의 복수의 개구부들은 복수의 수평으로 배향된 WL 피처들로 이어지는, 방법.
  3. 제 1 항에 있어서,
    순차적인 반응 물질 도즈들 사이에 상기 챔버를 퍼지하는 단계를 더 포함하는, 방법.
  4. 제 1 항에 있어서,
    상기 텅스텐 핵생성 층 상에 벌크 텅스텐 층을 증착하는 단계를 더 포함하는, 방법.
  5. 제 4 항에 있어서,
    상기 벌크 텅스텐 층은 환원제로서 수소 (H2) 를 사용하는 원자 층 증착 프로세스에 의해 증착되는, 방법.
  6. 제 1 항에 있어서,
    사이클 각각은 붕소-함유 환원제 도즈로 시작하는, 방법.
  7. 제 1 항에 있어서,
    사이클 각각은 실리콘-함유 환원제 도즈로 시작하는, 방법.
  8. 수직으로 배향된 측벽들을 포함하는 3D NAND 구조체를 제공하는 단계로서, 상기 측벽들 내의 복수의 개구부들은 챔버 내의 복수의 수평으로 배향된 워드라인 (WL) 피처들로 이어지는, 상기 3D NAND 구조체를 제공하는 단계;
    원자 층 증착 (Atomic Layer Deposition; ALD) 프로세스의 하나 이상의 사이클들을 수행함으로써 상기 WL 피처들 내에 텅스텐 핵생성 층을 증착하는 단계를 포함하고, 사이클 각각은,
    상기 챔버 내에서 하나 이상의 붕소-함유 환원제 도즈들을 흘리는 단계,
    상기 챔버 내에서 하나 이상의 실란 도즈들을 흘리는 단계, 및
    상기 챔버 내에서 상기 하나 이상의 붕소-함유 환원제 도즈들 및 상기 하나 이상의 실리콘-함유 환원제 도즈들을 흘린 후, 상기 챔버 내에서 하나 이상의 텅스텐-함유 전구체 펄스들을 흘리는 단계를 포함하는, 방법.
  9. 제 8 항에 있어서,
    상기 하나 이상의 붕소-함유 환원제 도즈들 및 상기 하나 이상의 실리콘-함유 환원제 도즈들은 순차적인 반응 물질 도즈들인, 방법.
  10. 제 9 항에 있어서,
    사이클 각각은 붕소-함유 환원제 도즈로 시작하는, 방법.
  11. 제 9 항에 있어서,
    사이클 각각은 실리콘-함유 환원제 도즈로 시작하는, 방법.
  12. 제 8 항에 있어서,
    붕소-함유 환원제 도즈는 실리콘-함유 환원제 도즈와 공류하는 (co-flow), 방법.
  13. 제 8 항에 있어서,
    순차적인 반응 물질 도즈들 사이에 상기 챔버를 퍼지하는 단계를 더 포함하는, 방법.
  14. 제 8 항에 있어서,
    상기 텅스텐 핵생성 층 상에 벌크 텅스텐 층을 증착하는 단계를 더 포함하는, 방법.
  15. 제 14 항에 있어서,
    상기 벌크 텅스텐 층은 환원제로서 수소 (H2) 를 사용하는 원자 층 증착 프로세스에 의해 증착되는, 방법.
  16. (a) 하나 이상의 프로세스 스테이션들을 포함하는 프로세스 챔버로서, 프로세스 스테이션 각각은 기판을 홀딩하도록 구성된 기판 지지부를 포함하는, 상기 프로세스 챔버;
    (b) 진공에 커플링하기 위한 적어도 하나의 유출구;
    (c) 하나 이상의 프로세스 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입구들; 및
    (d) 장치의 동작들을 제어하기 위한 제어기를 포함하고, 상기 제어기는,
    상기 프로세스 챔버 내에서 하나 이상의 붕소-함유 환원제 도즈들을 흘리고,
    상기 프로세스 챔버 내에서 하나 이상의 실리콘-함유 환원제 도즈들을 흘리고, 그리고
    상기 챔버 내에서 상기 하나 이상의 붕소-함유 환원제 도즈들 및 상기 하나 이상의 실리콘-함유 환원제 도즈들을 흘린 후, 상기 프로세스 챔버 내에서 하나 이상의 텅스텐-함유 전구체 펄스들을 흘리기 위한 머신-판독 가능 인스트럭션들을 포함하는, 장치.
  17. 제 16 항에 있어서,
    상기 프로세스 가스 소스들은 충전 볼륨들을 포함하는, 장치.
KR1020227008307A 2019-08-12 2020-08-10 텅스텐 증착 KR20220047333A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962885465P 2019-08-12 2019-08-12
US62/885,465 2019-08-12
PCT/US2020/070394 WO2021030836A1 (en) 2019-08-12 2020-08-10 Tungsten deposition

Publications (1)

Publication Number Publication Date
KR20220047333A true KR20220047333A (ko) 2022-04-15

Family

ID=74570471

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227008307A KR20220047333A (ko) 2019-08-12 2020-08-10 텅스텐 증착

Country Status (5)

Country Link
US (1) US20220364232A1 (ko)
JP (1) JP2022544931A (ko)
KR (1) KR20220047333A (ko)
CN (1) CN114269963A (ko)
WO (1) WO2021030836A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP2009024252A (ja) * 2007-05-15 2009-02-05 Applied Materials Inc タングステン材料の原子層堆積法
US20100120245A1 (en) * 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US9969622B2 (en) * 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US9595470B2 (en) * 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US9953984B2 (en) * 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Also Published As

Publication number Publication date
JP2022544931A (ja) 2022-10-24
CN114269963A (zh) 2022-04-01
US20220364232A1 (en) 2022-11-17
WO2021030836A1 (en) 2021-02-18

Similar Documents

Publication Publication Date Title
US11355345B2 (en) Method for preventing line bending during metal fill process
TWI831756B (zh) 形成金屬薄膜的方法及儀器
US9613818B2 (en) Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) Tungsten films having low fluorine content
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
US20150348840A1 (en) Methods of filling high aspect ratio features with fluorine free tungsten
KR20230127377A (ko) 몰리브덴을 함유하는 저 저항률 막들
KR20220082023A (ko) 몰리브덴 충진
US11972952B2 (en) Atomic layer deposition on 3D NAND structures
KR20220047333A (ko) 텅스텐 증착
KR102637315B1 (ko) 텅스텐 나이트라이드 배리어 층 증착
US12002679B2 (en) High step coverage tungsten deposition
US20220181158A1 (en) High step coverage tungsten deposition
US20220186370A1 (en) Rapid flush purging during atomic layer deposition
KR20240052872A (ko) 반도체 프로세싱 동안 프로세스 가스 램핑
US20240158913A1 (en) Reducing line bending during metal fill process
TW202401671A (zh) 高縱橫比3d nand結構中的鎢字元線填充

Legal Events

Date Code Title Description
A201 Request for examination