KR20230127377A - 몰리브덴을 함유하는 저 저항률 막들 - Google Patents

몰리브덴을 함유하는 저 저항률 막들 Download PDF

Info

Publication number
KR20230127377A
KR20230127377A KR1020237028915A KR20237028915A KR20230127377A KR 20230127377 A KR20230127377 A KR 20230127377A KR 1020237028915 A KR1020237028915 A KR 1020237028915A KR 20237028915 A KR20237028915 A KR 20237028915A KR 20230127377 A KR20230127377 A KR 20230127377A
Authority
KR
South Korea
Prior art keywords
molybdenum
layer
reducing agent
substrate
gas
Prior art date
Application number
KR1020237028915A
Other languages
English (en)
Inventor
슈르티 비벡 톰바레
라아쉬나 후마윤
마이칼 다넥
치우킨 스티븐 라이
조슈아 콜린스
한나 밤놀커
그리핀 존 케네디
고런 부테일
패트릭 반 클림풋
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230127377A publication Critical patent/KR20230127377A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/08Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

로직 및 메모리 애플리케이션들을 위한 저 저항 금속화 스택 구조체들 및 관련된 제조 방법들이 본 명세서에 제공된다. 일부 구현예들에서, 방법들은 기판 상에 텅스텐 (W)-함유 층을 제공하는 단계; 및 W-함유 층 상에 몰리브덴 (Mo)-함유 층을 증착하는 단계를 수반한다. 일부 구현예들에서, 방법들은 W-함유 층을 개재하지 않고, 유전체 또는 티타늄 나이트라이드 (TiN) 기판 직상에 Mo-함유 층을 증착하는 단계를 수반한다.

Description

몰리브덴을 함유하는 저 저항률 막들{LOW RESISTIVITY FILMS CONTAINING MOLYBDENUM}
관련 출원들에 대한 교차 참조
본 출원은 35 U.S.C. §119 하에서 2017년 4월 10일 출원된 미국 특허 가출원 번호 제 62/483,857 호에 대한 이점을 주장하고, 본 명세서에 참조로서 인용된다.
본 명세서에 제공된 배경기술 기술 (description) 은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
CVD (chemical vapor deposition) 기법들을 사용한 텅스텐 (W) 막 증착은 반도체 제조 프로세스들의 필수적인 부분이다. 예를 들어, 텅스텐 막들은 수평적 상호접속부들, 인접한 금속 층들 사이의 비아들, 및 제 1 금속 층과 실리콘 기판 상의 디바이스들 사이의 콘택트들의 형태로 저 저항률 전기적 접속부들로서 사용될 수도 있다. 텅스텐 막들은 또한 DRAM (dynamic random access memory) 을 위한 매립 워드라인 (bWL; buried wordline) 아키텍처들의 형성을 포함하는, 다양한 메모리 애플리케이션들, 및 로직 애플리케이션들에 사용될 수도 있다. bWL 증착의 일 예에서, 텅스텐 층이 WF6를 사용한 CVD 프로세스에 의해 TiN/W 이중층을 형성하도록 티타늄 나이트라이드 (TiN) 배리어 층 상에 증착될 수도 있다. 그러나, 피처 사이즈 및 막 두께의 계속된 감소는 TiN/W 막 스택들에 다양한 과제들을 가져온다. 이들은 보다 박형의 막들에 대한 고 저항률 및 TiN 배리어 속성들의 열화를 포함한다.
본 개시의 일 양태는 기판 상에 텅스텐 (W)-함유 층을 제공하는 단계; 및 W-함유 층 상에 몰리브덴 (Mo)-함유 층을 증착하는 단계를 포함하는 방법들에 관한 것이다. 일부 실시예들에서, W-함유 층은 WCN 층이다. 일부 실시예들에서, W-함유 층은 W 핵생성 층이다. 일부 실시예들에서, W-함유 층은 하나 이상의 텅스텐 클로라이드 전구체들로부터 증착된다. 일부 실시예들에서, Mo-함유 층은 1 (atomic) % 불순물들보다 적은 불순물들을 갖는 Mo 층이다. 일부 실시예들에서, 방법은 Mo-함유 층을 열적으로 어닐링하는 단계를 포함한다. 일부 실시예들에서, Mo-함유 층은 W-함유 층을 환원제 및 몰리브덴 헥사플루오라이드 (MoF6), 몰리브덴 펜타클로라이드 (MoCl5), 몰리브덴 디클로라이드 다이옥사이드 (MoO2Cl2), 몰리브덴 테트라클로라이드 옥사이드 (MoOCl4), 및 몰리브덴 헥사카르보닐 (Mo(CO)6) 로부터 선택된 Mo-함유 전구체에 노출함으로써 증착된다. 일부 실시예들에서, Mo-함유 전구체로의 노출 동안 기판 온도는 550 ℃보다 낮다. 일부 실시예들에서, 기판은 제 1 기판 온도에서 환원제에 노출되고 제 2 기판 온도에서 Mo-함유 전구체에 노출되고, 제 1 기판 온도는 제 2 기판 온도보다 낮다. 일부 실시예들에서, 환원제는 붕소-함유 환원제와 실리콘-함유 환원제의 혼합물이다.
본 개시의 또 다른 양태는 기판 상에 컨포멀한 (conformal) 환원제 층을 형성하도록, 제 1 기판 온도에서 기판을 하우징하는 프로세스 챔버로 환원제 가스를 흘리는 단계; 및 환원제 층을 몰리브덴으로 변환하도록 제 2 기판 온도에서 컨포멀한 환원제 층을 몰리브덴 (Mo)-함유 전구체에 노출하는 단계를 포함하는 방법에 관한 것이다. 일부 실시예들에서, 제 1 기판 온도는 제 2 기판 온도보다 낮다. 일부 실시예들에서, 환원제는 붕소-함유 환원제와 실리콘-함유 환원제의 혼합물이다. 일부 실시예들에서, 제 1 기판 온도는 400 ℃보다 높지 않고, 그리고 제 2 기판 온도는 적어도 500 ℃이다. 일부 실시예들에서, 방법들은 몰리브덴을 어닐링하는 단계를 더 포함한다.
본 개시의 또 다른 양태는 환원제를 펄싱하는 단계로서, 환원제는 붕소 (B)-함유, 실리콘 (Si)-함유 또는 게르마늄 (Ge)-함유 환원제인, 펄싱 단계; 및 Mo-함유 전구체를 펄싱하는 단계를 포함하는 방법에 관한 것이고, 기판 상에 B, Si, 및 Ge 중 하나 이상을 함유하는 멀티-컴포넌트 (multi-component) 텅스텐-함유 막을 형성하도록, Mo-함유 전구체는 환원제 또는 이의 산물에 의해 환원된다. 일부 실시예들에서, 멀티-컴포넌트 텅스텐-함유 막은 5% 내지 60 % (atomic) 의 B, Si, 또는 Ge를 함유한다. 일부 실시예들에서, 5% 내지 60% (atomic) 의 B, Si, 또는 Ge는 환원제에 의해 제공된다.
본 개시의 또 다른 양태는 본 명세서에 개시된 방법들을 수행하기 위한 장치들이다. 이들 및 다른 특징들은 도면들과 관련하여 더 논의된다.
도 1a 및 도 1b는 다양한 실시예들에 따른, 몰리브덴 (Mo) 을 포함하는 재료 스택들의 개략적인 예들이다.
도 2는 Mo 매립 워드라인 (bWL) 을 포함하는 DRAM 아키텍처의 개략적인 예를 도시한다.
도 3a는 3D NAND 구조체의 Mo 워드라인의 개략적인 예를 도시한다.
도 3b는 Mo 워드라인 및 컨포멀한 배리어 층을 포함하는 Mo 충진 후 부분적으로 제조된 3D NAND 구조체의 3-D 피처들의 2-D 렌더링을 도시한다.
도 4a 및 도 4b는 개시된 실시예들에 따라 수행된 방법들을 위한 프로세스 흐름도들을 제공한다.
도 5 및 도 6은 텅스텐 (W) 핵생성 층들 상의 Mo의 CVD 증착을 위한 다양한 기판 온도들 및 챔버 압력들에 대해, Mo 두께 (Å) vs. CVD 지속기간 (s) 및 Mo 저항률 (μΩ-㎝) vs. Mo 두께 (Å) 를 각각 도시하는 그래프들이다.
도 7 및 도 8은 다양한 기판 온도들 및 챔버 압력들에서 WCN 상의 Mo의 CVD 증착에 대한 Mo 성장 레이트 및 저항률 vs. Mo 막 두께 각각을 도시하는 그래프들이다.
도 9는 WCN 하부층 두께의 함수로서 CVD 증착된 Mo 층의 두께 및 저항률을 도시하는 그래프이다.
도 10은 800 ℃에서 어닐링 후 2 ㎚ WCN 상에 증착된 다양한 두께들의 Mo 스택들에 대한 스택 저항률의 감소를 도시하는 그래프이다.
도 11은 본 명세서에 기술된 실시예들에 따른 증착 프로세스들을 수행하기 적합한 프로세싱 시스템의 블록도이다.
이하의 기술에서, 다수의 구체적인 상세들이 제공된 실시예들의 완전한 이해를 제공하기 위해 진술된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들은 구체적인 실시예들과 함께 기술될 것이지만, 이는 개시된 실시예들을 제한하도록 의도되지 않았다는 것이 이해될 것이다.
로직 및 메모리 애플리케이션들을 위한 저 저항 금속화 스택 구조체들이 본 명세서에 제공된다. 도 1a 및 도 1b는 다양한 실시예들에 따른, 몰리브덴 (Mo) 을 포함하는 재료 스택들의 개략적인 예들이다. 도 1a 및 도 1b는 특정한 스택의 재료들의 순서를 예시하고 도 2 및 도 3에 대해 이하에 더 기술된 바와 같이, 임의의 적절한 아키텍처 및 애플리케이션에 사용될 수도 있다. 도 1a의 예에서, 기판 (102) 은 상부에 증착된 Mo 층 (108) 을 갖는다. 기판 (102) 은 실리콘 또는 다른 반도체 웨이퍼, 상부에 증착된 유전체, 도전성, 또는 반도전성 재료와 같은, 재료의 하나 이상의 층들을 갖는 웨이퍼들을 포함하는, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 방법들은 또한 유리, 플라스틱, 등과 같은 다른 기판들 상에 금속화 스택 구조체들을 형성하도록 적용될 수도 있다.
도 1a에서, 유전체 층 (104) 이 기판 (102) 상에 있다. 유전체 층 (104) 은 기판 (102) 의 반도체 (예를 들어, Si) 표면 직상에 증착될 수도 있고, 또는 임의의 수의 개재되는 층들이 있을 수도 있다. 유전체 층들의 예들은 도핑되거나 도핑되지 않은 층들 SiO2 및 Al2O3 을 포함하는 특정한 예들과 함께, 도핑된 실리콘 옥사이드 층, 실리콘 나이트라이드 층, 및 알루미늄 옥사이드 층 및 도핑되지 않은 실리콘 옥사이드 층, 실리콘 나이트라이드 층, 및 알루미늄 옥사이드 층을 포함한다. 또한, 도 1a에서, 확산 배리어 층 (106) 이 Mo 층 (108) 과 유전체 층 (104) 사이에 배치된다. 확산 배리어 층들의 예들은 티타늄 나이트라이드 (TiN), 티타늄/티타늄 나이트라이드 (Ti/TiN), 텅스텐 나이트라이드 (WN), 및 텅스텐 탄소 나이트라이드 (WCN) 를 포함한다. 다른 예시적인 확산 배리어들은 이하에 더 기술되는 바와 같이 멀티-컴포넌트 Mo-함유 막들이다. Mo 층 (108) 은 구조체의 주 도전체이다. 이하에 더 논의된 바와 같이, Mo 층 (108) 은 Mo 핵생성 층 및 벌크 Mo 층을 포함할 수도 있다. 또한, 일부 실시예들에서, Mo 층 (108) 은 텅스텐 (W) 또는 W-함유 성장 개시 층 상에 증착될 수도 있다.
도 1b는 재료 스택의 또 다른 예를 도시한다. 이 예에서, 스택은 확산 배리어 층을 개재하지 않고, 기판 (102), 유전체 층 (104) 과 유전체 층 (104) 상에 증착된 Mo 층 (108) 을 포함한다. 도 1a의 예에서와 같이, Mo 층 (108) 은 Mo 핵생성 층 및 벌크 Mo 층을 포함할 수도 있고, 그리고, 일부 실시예들에서, Mo 층 (108) 은 텅스텐 (W) 또는 W-함유 성장 개시 층 상에 증착될 수도 있다. W보다 낮은 전자 평균 자유 경로를 갖는, Mo를 주 도전체로서 사용함으로써, 보다 저 저항률의 박막들이 획득될 수 있다.
도 1a 및 도 1b가 금속화 스택들의 예들을 도시하지만, 방법들 및 발생되는 스택들은 이렇게 제한되지 않는다. 예를 들어, 일부 실시예들에서, Mo는 W 개시 층과 함께 또는 없이, Si 또는 다른 반도체 기판 직상에 증착될 수도 있다.
상기 및 이하에 더 기술된 재료 스택들이 다양한 실시예들에서 채용될 수도 있다. 도 2, 도 3a, 및 도 3b는 Mo-함유 스택들이 채용될 수도 있는 구조체들의 예들을 제공한다. 도 2는 실리콘 기판 (202) 에 Mo 매립 워드라인 (bWL) (208) 을 포함하는 DRAM 아키텍처의 개략적인 예를 도시한다. Mo bWL은 실리콘 기판 (202) 내에 에칭된 트렌치에 형성된다. 트렌치를 라이닝하는 (lining) 것은 컨포멀한 배리어 층 (206) 및 컨포멀한 배리어 층 (206) 과 실리콘 기판 (202) 사이에 배치되는 절연 층 (204) 이다. 도 2의 예에서, 절연 층 (204) 은 실리콘 옥사이드 또는 실리콘 나이트라이드 재료와 같은 고-k 유전체 재료로부터 형성된, 게이트 옥사이드 층일 수도 있다. 본 명세서에 개시된 일부 실시예들에서 컨포멀한 배리어 층은 TiN 또는 텅스텐-함유 층이다. 일부 실시예들에서, 이 TiN은 배리어로서 사용되고, 컨포멀한 텅스텐-함유 성장 개시 층이 컨포멀한 배리어 층 (206) 과 Mo bWL (208) 사이에 존재할 수도 있다. 대안적으로, Mo bWL (208) 은 TiN 또는 다른 확산 배리어 직상에 증착될 수도 있다.
도 3a는 3D NAND 구조체 (323) 의 Mo 워드라인 (308) 의 개략적인 예를 도시한다. 도 3b에서, Mo 충진 후 부분적으로 제조된 3D NAND 구조체의 3-D 피처들의 2-D 렌더링이 워드라인 (308) 및 컨포멀한 배리어 층 (306) 을 포함하여 도시된다. 도 3b는 단면도가 아닌 평면도로 도시된 수축부들을 나타내는 도면에 도시된 필라 수축부들 (324) 을 갖는 충진된 영역의 단면도이다. 컨포멀한 배리어 층 (306) 은 도 2의 컨포멀한 배리어 층 (206) 에 대해 상기 기술된 바와 같이 TiN 또는 텅스텐-함유 층일 수도 있다. 일부 실시예들에서, 텅스텐-함유 막이 이하에 논의된 바와 같이 후속하는 CVD Mo 증착 동안 배리어 층 및 핵생성 층으로서 역할을 할 수도 있다. TiN이 배리어로서 사용된다면, 컨포멀한 텅스텐-함유 성장 개시 층이 배리어와 워드라인 사이에 존재할 수도 있다. 대안적으로, Mo 워드라인 (308) 은 TiN 또는 다른 확산 배리어 직상에 증착될 수도 있다.
Mo-함유 스택들을 형성하는 방법들은 CVD 및 펄싱된 핵생성 층 (PNL) 증착과 같은 기상 증착 기법들을 포함한다. PNL 기법에서, 공-반응물질, 선택가능한 퍼지 가스들, 및 Mo-함유 전구체의 펄스들은 반응 챔버 내로 순차적으로 주입되고 반응 챔버로부터 퍼지된다. 프로세스는 목표된 두께가 달성될 때까지 순환적 방식으로 반복된다. PNL은 ALD (atomic layer deposition) 기법들을 포함하는, 반도체 기판 상의 반응을 위해 반응물질들을 순차적으로 첨가하는 임의의 순환적 프로세스를 폭넓게 구현한다. PNL은 본 명세서에 기술된 방법들에서 Mo 핵생성 층들 및/또는 W-기반 성장 개시 층들의 증착을 위해 사용될 수도 있다. 핵생성 층은 통상적으로 상부에 벌크 재료의 후속 증착을 용이하게 하는 박형의 컨포멀한 층이다. 다양한 구현예들에 따라, 핵생성 층이 피처의 임의의 충진 전에 그리고/또는 피처의 충진 동안 후속하는 지점들에서 증착될 수도 있다.
텅스텐 핵생성 층들을 증착하기 위한 PNL 기법들은 미국 특허 제 6,635,965 호; 제 7,005,372 호; 제 7,141,494 호; 제 7,589,017 호, 제 7,772,114 호, 제 7,955,972 호 및 제 8,058,170 호에 기술된다. 핵생성 층 두께는 핵생성 층 증착 방법 뿐만 아니라 목표된 품질의 벌크 증착에 종속될 수 있다. 일반적으로, 핵생성 층 두께는 고품질, 균일한 벌크 증착을 지지하기 충분하다. 예들은 10 Å 내지 100 Å의 범위일 수도 있다.
많은 구현예들에서, Mo 벌크 층의 증착은 환원제 및 Mo-함유 전구체가 피처 내에 벌크 층을 증착하도록 증착 챔버 내로 흐르는, CVD 프로세스에 의해 발생할 수 있다. 불활성 캐리어 가스가 미리 혼합되거나 미리 혼합되지 않을 수도 있는, 반응물질 스트림들 중 하나 이상을 전달하도록 사용될 수도 있다. PNL 프로세스 또는 ALD 프로세스와 달리, 이 동작은 일반적으로 목표된 양이 증착될 때까지 반응물질들을 계속해서 흘리는 것을 수반한다. 특정한 구현예들에서, CVD 동작은 하나 이상의 반응물질 플로우들이 방향전환되는 기간들에 의해 분리된 반응물질들의 연속적이고 동시적인 플로우의 복수의 기간들을 갖는, 복수의 스테이지들에서 발생할 수도 있다.
Mo-함유 전구체들은 몰리브덴 헥사플루오라이드 (MoF6), 몰리브덴 펜타클로라이드 (MoCl5), 몰리브덴 디클로라이드 다이옥사이드 (MoO2Cl2), 몰리브덴 테트라클로라이드 옥사이드 (MoOCl4), 및 몰리브덴 헥사카르보닐 (Mo(CO)6) 을 포함한다. 몰리브덴 실릴사이클로펜타디에닐 및 몰리브덴 실릴알릴 착체들과 같은 유기금속성 전구체들이 사용될 수도 있다. Mo-함유 전구체들은 MoF6 및 MoCl5 뿐만 아니라 안정한 분자를 형성할 수 있는 2 이상의 할로겐들을 갖는 혼합된 할라이드 전구체들을 포함하는, 할라이드 전구체들일 수도 있다. 혼합된 할라이드 전구체의 일예는 MoClxBry이고, x 및 y는 안정한 분자를 형성할 수 있는 0 보다 큰 임의의 수이다.
W-기반 성장 개시 층 상 Mo-함유 층
특정한 실시예들에서, 텅스텐 (W)-기반 성장 개시 층 상에 몰리브덴 (Mo)-함유 층을 포함하는 구조체들이 제공된다. 또한, Mo-함유 막들을 형성하는 방법들이 제공된다.
W-기반 성장 개시 층은 W-함유 층일 수도 있다. 일부 실시예들에서, 이는 핵생성 층, 즉, 상부에 벌크 재료의 후속 형성을 용이하게 하도록 역할을 하는 박형 컨포멀한 층이다. 일부 실시예들에서, W-기반 성장 개시 층은 자체가 핵생성 층 상에 증착될 수도 있는, 벌크 W-함유 층이다. 피처 충진을 위해 사용될 때, 핵생성 층은 피처의 측벽들 및 하단부를 컨포멀하게 코팅하도록 증착될 수도 있다. 아래에 놓인 피처 하단부 및 측벽들에 컨포밍하는 것은 고품질 증착을 지지하기 위해 중요해질 수 있다. 다양한 실시예들에 따라, W-기반 성장 개시 층은 PNL 및 CVD 중 하나 또는 모두에 의해 증착될 수도 있다. 예를 들어, CVD 층이 PNL 층 상에 증착될 수도 있다.
일부 실시예들에서, W-함유 층은 원소적 W 층이다. 이러한 층들은 PNL 방법 또는 CVD 방법을 포함하는 임의의 적절한 방법들에 의해 증착될 수도 있다. 원소적 W는 어느 정도 양의 불순물들을 포함할 수도 있지만, WC 또는 WN과 같은 2성분 막들 및 WCN과 같은 3성분 막들과 구별된다. 이는 W 층 또는 W 막으로 참조될 수도 있다.
일부 실시예들에서, W-기반 성장 층은 저 저항률 W (LRW) 막이다. 특정한 실시예들에 따라 저 저항률 텅스텐의 증착은 미국 특허 제 7,772,114 호에 기술된다. 구체적으로, 7,772,114 특허는 PNL W 층 상에 W의 CVD 증착 전에 PNL W 핵생성 층을 환원제에 노출하는 것을 기술한다. LRW 막들은 큰 Mo 입자 성장을 위해 우수한 템플릿들을 제공하는 큰 입자 사이즈들을 갖는다.
일부 실시예들에서, W-기반 성장 층은 공-반응물질로서 붕소-함유 환원제 (예를 들어, B2H6) 또는 실리콘-함유 환원제 (예를 들어, SiH4) 중 하나 이상을 사용하여 증착된 PNL W 핵생성 층이다. 예를 들어, S/W가 실란의 펄스 이어서 텅스텐 헥사플루오라이드 (WF6) 또는 다른 텅스텐-함유 전구체의 펄스를 지칭하는, 하나 이상의 S/W 사이클들이 상부에 Mo 층이 증착되는 PNL W 핵생성 층을 증착하도록 채용될 수도 있다. 또 다른 예에서, B/W가 디보란의 펄스 이어서 WF6 또는 다른 텅스텐-함유 전구체의 펄스를 지칭하는, 하나 이상의 B/W 사이클들이 상부에 Mo 층이 증착되는 PNL W 핵생성 층을 증착하도록 채용될 수도 있다. B/W 사이클 및 S/W 사이클 모두가 PNL W 핵생성 층을 증착하도록 사용될 수도 있다. 붕소-함유 환원제 및 실리콘-함유 환원제 중 하나 또는 모두를 사용한 PNL 프로세스들의 예들은 미국 특허 제 7,262,125 호; 제 7,589,017 호; 제 7,772,114 호; 제 7,955,972 호; 제 8,058,170 호; 제 9,236,297 호 및 제 9,583,385 호에 기술된다.
일부 실시예들에서, W-기반 성장 층은 텅스텐 헥사클로라이드 (WCl6) 또는 텅스텐 펜타클로라이드 (WCl5) 와 같은 텅스텐 클로라이드 (WClx) 전구체를 사용하여 증착된 W 층 또는 다른 W-함유 층이다. 텅스텐 클로라이드들을 사용한 W-함유 층들의 증착은 미국 특허 제 9,595,470 호; 미국 특허 공개공보 제 20150348840 호; 및 미국 특허 출원번호 제 15/398,462 호에 기술된다.
일부 실시예들에서, W-기반 성장 층은 저 불소 W 층이다. 미국 특허 제 9,613,818 호는 저 불소 W 층을 증착하는 순차적인 CVD 방법들을 기술한다. 미국 특허 공개공보 제 2016/0351444 호는 저 불소 W 층들을 증착하는 PNL 방법들을 기술한다.
일부 실시예들에서, W-기반 성장 층은 WN, WC, 또는 WCN 막이다. WN, WC, 또는 WCN 중 하나 이상을 증착하는 방법들은 미국 특허 제 7,005,372 호; 제8,053,365 호; 제 8,278,216 호; 및 미국 특허 출원번호 제 15/474,383 호 각각에 기술된다.
W-기반 성장 층들은 상기 제시된 예들로 제한되지 않고, ALD, PNL, CVD, 또는 PVD (physical vapor deposition) 방법들을 포함하는 임의의 적절한 방법에 의해 증착된 임의의 W 또는 다른 W-함유 막일 수도 있다. ALD, PNL, 및 CVD 증착은 W-함유 전구체로의 노출을 수반한다. WF6 및 WClx 전구체들에 더하여, W-함유 전구체들의 예들은 텅스텐 헥사카르보닐 (W(CO)6) 및 유기-금속성 전구체들, 예컨대 MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 및 EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 를 포함한다. 많은 ALD, PNL, 및 CVD 증착 프로세스들에서, 환원제가 W-함유 전구체를 환원하도록 사용된다. 예들은 수소 가스 (H2), 실란 (SiH4), 디실란 (Si2H6), 하이드라진 (N2H4), 디보란 (B2H6) 및 게르만 (GeH4) 을 포함한다.
또한 상기 주지된 바와 같이, 본 명세서에 기술된 W-함유 막들은 사용된 특정한 전구체들 및 프로세스들에 따라, 어느 정도 양의 화합물들, 도펀트들 및/또는 불순물들, 예컨대 질소, 탄소, 산소, 붕소, 인, 황, 실리콘, 게르마늄, 등을 포함할 수도 있다. 막 내 텅스텐 함량은 20 % 내지 100 % (atomic) 텅스텐의 범위일 수도 있다. 많은 구현예들에서, 막들은 적어도 50 % (atomic) 텅스텐, 또는 심지어 적어도 약 60 %, 75 %, 90 %, 또는 99 % (atomic) 텅스텐을 갖는 텅스텐-풍부이다. 일부 구현예들에서, 막들은 원소적 텅스텐 (W) 및 WC, WN, 등과 같은 다른 텅스텐-함유 화합물들의 혼합물일 수도 있다.
Mo-함유 막은 ALD 또는 CVD를 포함하는 임의의 적절한 방법에 의해 W-기반 성장 개시 층 상에 증착될 수도 있다. 일부 실시예들에서, 순차적 CVD 프로세스들이 사용될 수도 있다. 순차적 CVD 프로세스들은 본 명세서에 참조로서 인용된, 미국 특허 제 9,613,818 호에 기술된다.
Mo-함유 막들의 증착은 W-기반 성장 개시 층을 Mo-함유 전구체 및 환원제 또는 다른 공-반응물질에 동시에 또는 순차적으로 노출하는 것을 수반할 수도 있다. Mo-함유 전구체들의 예들은 MoF6, MoCl5, MoOCl4, 및 Mo(CO)6을 포함한다. 몰리브덴 실릴사이클로펜타디에닐 및 몰리브덴 실릴알릴 착체들과 같은 유기금속성 전구체들이 사용될 수도 있다. (예를 들어, O 함량으로 측정된 바와 같이) Mo 막 순도는 전구체 분압 (partial pressure) 및 공-반응물질 분압을 가변함으로써 튜닝될 수 있다.
Mo 증착 동안 기판 온도는 300 ℃ 내지 750 ℃이고, 특정한 실시예들에서, 450 ℃ 내지 550 ℃일 수도 있다. 기판 온도는 열 예산 (thermal budget) 및 증착 화학물질에 종속될 것이다. 열 예산은 애플리케이션들에 종속되지만, 고 증착 온도는 메모리 애플리케이션들에서 문제가 되지 않을 수도 있고, 로직 애플리케이션들을 위한 열 예산을 초과할 수 있다.
W-함유 성장 개시 층의 존재는 증착으로 하여금 보다 저온들에서 수행되게 한다. 예를 들어, MoCl5 또는 MoOCl4로부터의 Mo 증착은 Mo-Cl 결합의 강도로 인해 550 ℃ 미만의 온도들에서 수행될 수 없다. 그러나, W-함유 성장 개시 층을 사용하여, 증착은 550 ℃ 미만에서 수행될 수 있다. Mo 증착 동안 챔버 압력은 예를 들어, 5 torr 내지 60 torr일 수도 있다.
일부 실시예들에서, H2가 SiH4 또는 B2H6과 같은 보다 강력한 환원제 대신 환원제로서 사용된다. 이들 보다 강력한 환원제들은 산소-함유 Mo-함유 전구체를 사용할 때 바람직하지 않은 산소 풍부 계면을 발생시킬 수 있다. Mo-함유 막은 원소적 Mo 막일 수도 있지만, 이러한 막들은 사용된 특정한 전구체들 및 프로세스들에 따라 어느 정도 양의 다른 화합물들, 도펀트들 및/또는 불순물들을 포함할 수도 있다.
PNL-증착된 Mo 핵생성 층 상의 Mo-함유 층
특정한 실시예들에서, Mo-함유 층이 W-기반 성장 개시 층의 사용 없이 증착될 수도 있다. 예를 들어, 원소적 Mo 층은 TiN 또는 유전체 층 상에 증착될 수도 있다. 특정한 전구체들에 대해, 증착 온도들은 증착을 달성하기 위해 상대적으로 높을 (550 ℃ 이상) 수도 있다. MoOCl5, MoOCl4, 및 MoO2Cl2와 같은 염소-함유 전구체들을 사용하는 CVD 증착은 TiN 표면 및 유전체 표면 상에서 550 ℃보다 높은 온도들에서 수행될 수도 있다. 보다 저온들에서, CVD 증착은 상기 기술된 바와 같이 W-기반 성장 개시 층을 사용하여 임의의 표면 상에서 수행될 수도 있다. 또한, 일부 실시예들에서, CVD 증착은 PNL 프로세스에 의해 증착된 Mo-함유 핵생성 층을 사용하여 임의의 표면 상에서 수행될 수도 있다.
상기 기술된 바와 같이, PNL 프로세스에서, 공-반응물질, 선택가능한 퍼지 가스들, 및 Mo-함유 전구체의 펄스들은 순차적으로 반응 챔버 내로 주입되고 반응 챔버로부터 퍼징된다. 일부 실시예들에서, Mo 핵생성 층이 공-반응물질로서 붕소-함유 환원제 (예를 들어, B2H6) 또는 실리콘-함유 환원제 (예를 들어, SiH4) 중 하나 이상을 사용하여 증착된다. 예를 들어, S/Mo가 실란의 펄스 이어서 Mo-함유 전구체의 펄스를 지칭하는, 하나 이상의 S/Mo 사이클들이 상부에 CVD Mo 층이 증착되는 PNL Mo 핵생성 층을 증착하도록 채용될 수도 있다. 또 다른 예에서, B/Mo가 디보란의 펄스 이어서 Mo-함유 전구체의 펄스를 지칭하는, 하나 이상의 B/Mo 사이클들이 상부에 CVD Mo 층이 증착되는 PNL Mo 핵생성 층을 증착하도록 채용될 수도 있다. B/Mo 사이클 및 S/Mo 사이클 모두가 PNL Mo 핵생성 층, 예를 들어, x 및 y가 정수들인, x(B/Mo) + y(S/Mo) 을 증착하도록 사용될 수도 있다. Mo 핵생성 층들의 PNL 증착을 위해, 일부 실시예들에서, Mo-함유 전구체는 비-산소 함유 전구체, 예를 들어, MoF6 또는 MoCl5일 수도 있다. 산소-함유 전구체들의 산소는 불순물이 섞인, 고 저항률 막들인, MoSixOy 또는 MoBxOy를 형성하도록 실리콘- 함유 환원제 또는 붕소-함유 환원제와 반응할 수도 있다. 산소-함유 전구체들은 산소 혼입 (incorporation) 이 최소화되어 사용될 수도 있다. 일부 실시예들에서, H2는 붕소-함유 또는 실리콘-함유 환원 가스 대신 환원 가스로서 사용될 수도 있다. Mo 핵생성 층의 증착을 위한 예시적인 두께들은 5 Å 내지 30 Å의 범위이다. 이 범위의 하한의 막들은 연속적이지 않을 수도 있지만, 이들이 연속적인 벌크 Mo 성장 개시를 보조할 수 있는 한, 두께가 충분할 수도 있다. 일부 실시예들에서, 환원제 펄스들은 Mo 전구체 펄스들보다 낮은 기판 온도들에서 이루어질 수도 있다. 예를 들어, 또는 B2H6 또는 SiH4 (또는 다른 붕소-함유 환원제 또는 실리콘-함유 환원제) 펄스는 300 ℃ 이하의 온도에서, 300 ℃ 보다 높은 온도들에서의 Mo 펄스와 함께 수행될 수도 있다.
환원제 층을 사용한 Mo 증착
보다 저온들 (550 ℃ 이하) 에서의 증착이 또한 도 4a에 도시된 바와 같은 프로세스에 의한 유전체 표면 및 TiN 표면과 같은 비-W 표면 직상에서 수행될 수도 있다. 이는 또한 W-함유 표면들 상에서 사용될 수도 있다. 도 4a는 개시된 실시예들에 따라 수행된 방법을 위한 프로세스 흐름도를 제공한다. 도 4a의 동작 402 내지 동작 408은 적어도 유전체 표면 또는 다른 표면에 직접 컨포멀한 Mo 층을 형성하도록 수행될 수도 있다.
동작 402에서, 기판은 환원제 층을 형성하도록 환원제 가스에 노출된다. 일부 실시예들에서, 환원제 가스는 실란, 보란 또는 실란과 디보란의 혼합물일 수도 있다. SiH4 및 Si2H6 를 포함하는 실란들의 예들 및 보란들의 예들은 디보란 (B2H6), 뿐만 아니라 BnHn+4, BnHn+6, BnHn+8, BnHm를 포함하고, 여기서 n은 1 내지 10의 정수이고, 그리고 m은 m과 상이한 정수이다. 다른 붕소-함유 화합물들, 예를 들어, 알킬 보란들, 알킬 붕소, 아미노보란들 (CH3)2NB(CH2)2, C2BnHn+2와 같은 카르보란들이 또한 사용될 수도 있다. 일부 구현예들에서, 환원제 층은 텅스텐 전구체를 환원할 수 있는 실리콘 또는 실리콘-함유 재료, 인 또는 인-함유 재료, 게르마늄 또는 게르마늄-함유 재료, 붕소 또는 붕소-함유 재료 및 이들의 조합을 포함할 수도 있다. 이러한 층들을 형성하도록 사용될 수 있는 다른 예시적인 환원제 가스들은 PH3, SiH2Cl2, 및 GeH4를 포함한다. 다양한 실시예들에 따라, 수소가 배후에서 작동할 수도 있고 또는 작동하지 않을 수도 있다. (수소가 텅스텐 전구체들을 환원할 수 있지만, 충분한 양의 실란 및 디보란과 같은 보다 강한 환원제들을 갖는 가스 혼합물에서 환원제로서 기능하지 않는다.)
일부 실시예들에서, 환원제 가스는 또 다른 환원제와 소량의 붕소-함유 가스, 예컨대 디보란을 포함하는 혼합물이다. 소량의 붕소-함유 가스의 첨가는 다른 환원제의 분해 및 부착 계수에 크게 영향을 줄 수 있다. 2 개의 환원제들, 예를 들어, 실란 및 디보란에 기판을 순차적으로 노출하는 단계가 수행될 수도 있다는 것을 주의한다. 그러나, 가스들의 혼합물을 흘리는 것은 예를 들어, 적어도 100:1의 실란 대 디보란의 비로 매우 소량의 소수 (minority) 가스의 첨가를 용이하게 할 수 있다. 일부 실시예들에서, 캐리어 가스가 흐를 수도 있다. 일부 실시예들에서, 캐리어 가스, 예컨대 질소 (N2), 아르곤 (Ar), 헬륨 (He), 또는 다른 불활성 가스들이 동작 402 동안 흐를 수도 있다.
일부 실시예들에서, 환원제 층이 원소적 실리콘 (Si), 원소적 붕소 (B), 원소적 게르마늄 (Ge), 또는 이들의 혼합물들을 포함할 수도 있다. 예를 들어, 이하에 기술된 바와 같이, 환원제 층이 Si 및 B를 포함할 수도 있다. B의 양은 고 증착 레이트이지만 저 저항률을 갖는 환원제 층을 달성하도록 맞춤될 (tailored) 수도 있다. 일부 실시예들에서, 환원제 층이 5 % 내지 80 %의 B 예를 들어, 또는 5 % 내지 50 %의 B, 5 % 내지 30 %, 또는 5 % 내지 20 %의 B를 가질 수도 있고, 나머지는 본질적으로 Si 그리고 일부 경우들에서, H로 구성될 수도 있다. 수소 원자들, 예를 들어, SiHx, BHy, GeHz, 또는 이들의 혼합물들이 존재하고, 여기서 x, y, 및 z는 독립적으로 0과 대응하는 환원제 화합물의 화학량적 등가물보다 작은 수 사이일 수도 있다.
일부 실시예들에서, 조성은 환원제 층의 두께에 걸쳐 가변될 수도 있다. 예를 들어, 환원제 층은 20 %의 B의 환원제 층의 하단부 그리고 0 %의 B의 층의 상단부일 수도 있다. 환원제 층의 총 두께는 10 Å 내지 50 Å일 수도 있고, 일부 실시예들에서, 15 Å 내지 40 Å, 또는 20 Å 내지 30 Å이다. 환원제 층은 피처를 컨포멀하게 라이닝한다.
동작 402 동안 기판 온도는 막이 컨포멀해지도록 온도 T1에서 유지될 수도 있다. 온도가 너무 높으면, 막은 아래에 놓인 구조체의 토포그래피 (topography) 에 컨포멀하지 않을 수도 있다. 일부 실시예들에서, 90 % 또는 95 %보다 큰 단차 커버리지가 달성된다. 실란, 디보란, 및 실란/디보란 혼합물들에 대해, 컨포멀성은 300 ℃에서 우수하고 400 ℃ 이상의 온도들에서 열화될 수도 있다. 따라서, 일부 실시예들에서, 동작 202 동안 온도는 최대 350 ℃, 또는 심지어 최대 325 ℃, 최대 315 ℃, 또는 최대 300 ℃이다. 일부 실시예들에서, 300 ℃ 미만의 온도들이 사용된다. 예를 들어, 온도들은 200 ℃만큼 낮을 수도 있다.
동작 402는 임의의 적합한 지속기간 동안 수행될 수도 있다. 일부 예들에서, 예시적인 지속기간들은 약 0.25 초 내지 약 30 초, 약 0.25 초 내지 약 20 초, 약 0.25 초 내지 약 5 초, 또는 약 0.5 초 내지 약 3 초를 포함한다.
동작 404에서, 챔버는 기판의 표면에 흡착하지 않는 과도한 환원제를 제거하도록 선택가능하게 퍼징된다. 퍼징은 고정된 압력에서 불활성 가스를 흘림으로써, 챔버의 압력을 감소시키고 또 다른 가스 노출을 개시하기 전에 챔버를 재-가압함으로써 수행될 수도 있다. 예시적인 불활성 가스들은 질소 (N2), 아르곤 (Ar), 헬륨 (He), 및 이들의 혼합물들을 포함한다. 퍼징은 약 0.25 초 내지 약 30 초, 약 0.25 초 내지 약 20 초, 약 0.25 초 내지 약 5 초, 또는 약 0.5 초 내지 약 3 초의 지속기간 동안 수행될 수도 있다.
동작 406에서, 기판은 기판 온도 T2에서 Mo-함유 전구체에 노출된다. Mo-함유 화합물들의 예들은 상기에 제시되었고 클로라이드들 및 옥시클로라이드들을 포함한다. 산소-함유 전구체들의 사용은 불순물 혼입 및 보다 높은 저항률을 야기할 수 있다. 그러나, 산소가 혼입되면, 매우 박형의, 가능하면 불연속성인 환원제 층이 용인할 수 있는 저항률로 사용될 수도 있다. 일부 실시예들에서, 캐리어 가스, 예컨대 질소 (N2), 아르곤 (Ar), 헬륨 (He), 또는 다른 불활성 가스들이 동작 406 동안 흐를 수도 있다. 온도들의 예들은 500 ℃ 내지 700 ℃이다.
동작 406은 임의의 적합한 지속기간 동안 수행될 수도 있다. 일부 실시예들에서, Mo-함유 전구체의 소킹 (soak) 그리고 일부 실시예들에서, 일련의 Mo-함유 전구체 펄스들을 수반할 수도 있다. 다양한 실시예들에 따라, 동작 406은 H2의 존재시 수행될 수도 있고 또는 수행되지 않을 수도 있다. H2가 사용되면, 일부 실시예들에서, H2 및 Mo-함유 전구체는 ALD-타입 모드에서 도포될 수도 있다. 예를 들어:
H2 의 펄스
아르곤 퍼징
배후에서 H2 를 갖거나 갖지 않는 Mo-함유 전구체의 펄스
아르곤 퍼징
반복
기판 온도 T2는 Mo-함유 전구체가 원소적 Mo를 형성하도록 환원제 층과 반응하기 충분히 높다. 전체 환원제 층이 Mo로 변환된다. 일부 실시예들에서, 100 % 또는 거의 100 %의 변환을 달성하기 위해, 온도는 적어도 450 ℃이고, 그리고 적어도 550 ℃일 수도 있다. 발생되는 피처는 이제 Mo의 컨포멀한 막으로 라이닝된다. 이는 10 Å 내지 50 Å, 그리고 일부 실시예들에서, 15 Å 내지 40 Å, 또는 20 Å 내지 30 Å일 수도 있다. 일반적으로, 이는 환원제 층과 거의 동일한 두께일 것이다. 일부 실시예들에서, 이는 변환 동안 체적 팽창으로 인해 환원제 층보다 5 %까지 보다 두꺼울 수도 있다. 일부 실시예들에서, CVD Mo 층이 컨포멀한 Mo 층 상에 증착될 수도 있다.
멀티-컴포넌트 Mo 막
일부 실시예들에서, 멀티-컴포넌트 Mo-함유 막이 제공된다. 일부 이러한 실시예들에서, 멀티-컴포넌트 Mo-함유 막은 붕소 (B), 실리콘 (Si), 또는 게르마늄 (Ge) 중 하나 이상을 포함할 수도 있다. 도 4b는 개시된 실시예들에 따라 수행된 방법을 위한 프로세스 흐름도를 제공한다.
먼저, 기판이 환원제 펄스에 노출된다 (452). 일부 실시예들에서, 환원제 펄스에 노출되는, 상부에 막이 형성되는 표면은 유전체이다. 다양한 실시예들에 따라, 막은 도전 표면 및 반도전 표면을 포함하는 다른 타입들의 표면들 상에 형성될 수도 있다.
블록 452에서 채용된 환원제는 후속하는 동작에서 채용된 Mo-함유 전구체를 환원할 뿐만 아니라 발생되는 막 내로 혼입될 화합물을 제공할 것이다. 이러한 환원제들의 예들은 붕소-함유 환원제, 실리콘-함유 환원제, 및 게르마늄-함유 환원제를 포함한다. 붕소-함유 환원제들의 예들은 BnHn+4, BnHn+6, BnHn+8, BnHm와 같은 보란들을 포함하고, 여기서 n은 1 내지 10의 정수이고, m은 m과 상이한 정수이다. 특정한 예들에서, 디보란이 채용될 수도 있다. 다른 붕소-함유 화합물들, 예를 들어, 알킬 보란들, 알킬 붕소, 아미노보란들 (CH3)2NB(CH2)2, 및 카르보란들, 예컨대 C2BnHn+2이 또한 사용될 수도 있다. 실리콘-함유 화합물들의 예들은 SiH4 및 Si2H6과 같은 실란들을 포함한다. 게르마늄-함유 화합물들의 예들은 GenHn+4, GenHn+6, GenHn+8, 및 GenHm과 같은 게르만들을 포함하고, 여기서 n은 1 내지 10의 정수이고, 그리고 n은 m과 상이한 정수이다. 다른 게르마늄-함유 화합물들, 예를 들어, 알킬 게르만들, 알킬 게르마늄, 아미노게르만들 및 카르보게르만들이 또한 사용될 수도 있다.
다양한 실시예들에 따라, 블록 452는 기판의 표면 상에 열적으로 분해된 원소적 붕소, 실리콘, 또는 게르마늄의 박층의 흡착을 수반할 수도 있다. 일부 실시예들에서, 블록 452는 기판 표면 상에 전구체 분자의 흡착을 수반할 수도 있다.
다음에, 내부에 기판이 놓이는 챔버는 선택가능하게 퍼징될 수도 있다 (454). 존재한다면, 임의의 부산물 및 흡착되지 않은 전구체를 제거하기 위해 퍼지 펄스 또는 배기가 채용될 수 있다. Mo-함유 전구체 (456) 의 펄스가 이어진다. 일부 실시예들에서, Mo-함유 전구체는 MoOCl4, MoO2Cl2, 및 MoCl5와 같은 Cl-함유 전구체이다. 선택가능한 퍼징 (457) 은 블록 456 후에 또한 수행될 수도 있다. Mo-함유 전구체는 멀티-컴포넌트 막을 형성하도록, 환원제에 의해 환원된다 (또는 이의 분해 또는 반응 생성물).
증착 사이클은 Mo-함유 층의 일부를 통상적으로 증착할 것이다. 블록 457 후에, 증착 사이클은 일부 구현예들에서 MoBx, MoSix, 및 MoGex와 같은 텅스텐-함유 2성분 막이 되는 증착된 막으로 완료될 수도 있고, x는 0보다 크다. 이러한 실시예들에서, 프로세스는 목표된 두께가 증착될 때까지 452 내지 블록 457의 사이클을 반복하는 블록 462로 진행할 수도 있다. 예시적인 성장 레이트들은 사이클 당 약 100 Å일 수도 있다.
일부 실시예들에서, 프로세스는 선택가능하게 제 3 반응물질을 도입 (458) 하는 것으로 진행할 것이다. 제 3 반응물질은 일반적으로 탄소 또는 질소와 같은 막 내로 도입될 원소를 포함할 것이다. 질소-함유 반응물질들의 예들은 N2, NH3, 및 N2H4를 포함한다. 탄소-함유 반응물질들의 예들은 CH4 및 C2H2를 포함한다. 선택가능한 퍼징 (459) 이 이어질 수도 있다. 프로세스는 이어서 증착 사이클을 반복하는 블록 462로 진행할 수도 있다.
질소 또는 탄소를 포함하는 3성분 막들의 예들은 상기 제시되었다. 일부 실시예들에서, 막이 질소 및 탄소 모두를 포함할 수도 있다 (예를 들어, MoSiCN).
다양한 실시예들에 따라, 멀티-컴포넌트 텅스텐 막은 다음: Mo 약 5 atomic % 내지 90 atomic %, B/Ge/Si 약 5 atomic % 내지 60 atomic %, C/N 약 5 atomic % 내지 80 atomic %를 가질 수도 있다. 일부 실시예들에서, 멀티-컴포넌트 막들은 다음: Mo 약 15 atomic % 내지 약 80 atomic %; B/Ge/Si: 약 15 atomic % 내지 약 50 atomic %; 및 C/N 약 20 atomic % 내지 약 50 atomic %를 갖는다. 다양한 실시예들에 따라, 멀티-컴포넌트 Mo 막은 적어도 50 % Mo이다.
다양한 실시예들에 따라, 증착은 상대적으로 높고, 예를 들어, 550 ℃ 내지 650 ℃를 포함하여 500 ℃ 내지 700 ℃, 일부 실시예들에서 약 500 ℃보다 높다. 이는 Mo-함유 전구체 환원을 용이하게 하고 또한 2성분 막 내로 B, Si, 또는 Ge의 혼입을 허용한다. 이 범위의 상한은 열 예산 고려 사항들에 의해 제한될 수도 있다. 일부 실시예들에서, 블록들 (452, 456, 및 458) 중 임의의 하나 이상은 임의의 다른 블록들과 상이한 온도에서 수행될 수도 있다. 특정한 실시예들에서, 블록 452로부터 블록 456로 그리고 블록 456으로부터 블록 458으로의 전이는 멀티-스테이션 챔버에서 일 증착 스테이션으로부터 또 다른 스테이션으로 기판을 이동시키는 것을 수반한다. 또한, 블록 452, 블록 456, 및 블록 458 각각은 동일한 멀티-스테이션 챔버의 상이한 스테이션에서 수행될 수도 있다. 일부 실시예들에서, 블록들 (452, 456, 및 458) 의 순서는 변화될 수도 있다.
일부 실시예들에서, 2성분 막 또는 3성분 막일 일 함수와 같은 전기적 속성들이 질소 또는 탄소를 도입함으로써 튜닝될 수도 있다. 유사하게, 환원제의 양은 막 내로 혼입되는 B, Si, 또는 Ge의 양을 튜닝하도록 (도즈량 및/또는 펄스 시간을 조절함으로써) 조절될 수도 있다. 또한, 블록들 (452, 456, 및 458) 중 임의의 하나 또는 두 블록이 텅스텐 및 2성분 막 또는 3성분 막의 다른 컴포넌트들의 상대적인 양들, 따라서 물리적 특성들, 전기적 특성들, 및 화학적 특성들을 튜닝하도록 사이클 당 2 회 이상 수행될 수도 있다. 멀티-컴포넌트 층은 Mo, B, Si, 및 Ge 중 하나 이상, 그리고, 선택가능하게, C 및 N 중 하나 이상을 포함할 수도 있다. 예들은 MoBx, MoSix, MoGex, MoBxNy, MoSixNy, MoGexNy, MoSixCy, MoBxCy, MoGexCy를 포함하고, 여기서 x 및 y는 0보다 크다.
도 4b를 참조하여 기술된 프로세스에서, 환원제 (B, Si, 또는 Ge) 의 원소가 Mo-함유 막 내로 의도적으로 혼입된다는 것을 주의한다. 이는 상기 기술된 특정한 PNL 증착 프로세스들 및 CVD 증착 프로세스들, 및 B-함유 환원제, Si-함유 환원제, 또는 Ge-함유 환원제가 이들 원소들을 갖지 않거나 미량만을 갖는 일 원소 Mo 막을 형성하도록 사용될 수도 있는 도 4b에 기술된 증착 프로세스의 특정한 실시예들과 반대된다. B, Ge, 또는 Si의 혼입은 펄스 지속기간 및 도즈량에 의해 제어될 수 있다. 또한, 일부 실시예들에서, 보다 고온들이 혼입을 상승시키도록 채용될 수도 있다. 온도가 너무 높다면, 이는 반응물질 가스의 제어되지 않은 분해를 발생시킬 수 있다. 일부 실시예들에서, 기판 온도는 도 4a에 대해 상기 기술된 바와 같이 환원제 가스에 대해 보다 저온일 수도 있고 Mo 전구체에 대해 보다 고온일 수도 있다.
일부 실시예들에서, 도 4b의 프로세스는 B, Si, 또는 Ge가 막 내로 혼입되지 않도록 수정될 수도 있지만, 블록 (458) 은 예를 들어, MoC, MoN, 또는 MoCN 막들을 형성하기 위해, C 및/또는 N을 혼입하도록 수행된다. C-함유 반응물질 및/또는 N-함유 반응물질이 이러한 실시예들에서 사용될 수도 있다.
일부 실시예들에서, 멀티-컴포넌트 Mo-함유 막은 예를 들어, 워드라인을 위한 확산 배리어이다. 일부 실시예들에서, 멀티-컴포넌트 텅스텐-함유 막은 금속 게이트에 대한 일 함수 층이다. 일부 실시예들에서, 벌크 Mo 층이 멀티-컴포넌트 층 상에 증착될 수도 있다. 벌크 층은 일부 실시예들에서 층을 개재하지 않고 멀티-컴포넌트 Mo-함유 막 직상에 증착될 수도 있다. 일부 실시예들에서, 이는 CVD에 의해 증착될 수도 있다.
실험
CVD Mo 막들은 WF6를 환원하기 위해, 실란 및 디보란을 각각 사용하여, PNL에 의해 증착된 텅스텐 핵생성 층들 상에 성장되었다. 실란-증착된 텅스텐 핵생성 층은 SW 핵생성 층으로 지칭되고, 그리고 디보란-증착된 텅스텐 핵생성 층은 BW 핵생성 층으로 지칭된다. Mo 막들은 MoOCl4 및 H2로부터 증착되었다.
30 Torr 프로세스 압력 및 45 Torr 프로세스 압력은 증착 각각에 대해 비교된다. Mo 증착이 없고, 일부 W 손실이 30 Torr에서 관찰되고, 보다 많은 W 손실이 SW 핵생성보다 BW 핵생성에 대해 관찰된다. SIMS (secondary ion mass spectrometry) 데이터가 1 atomic %보다 적은 O 함량을 보여준다.
Mo는 상이한 온도들 (500 ℃ 및 520 ℃), 압력들 (45 Torr 및 60 Torr) 에서 SW 핵생성 층들 및 BW 핵생성 층들 상에서 CVD에 의해 증착된다. 핵생성 층을 증착하도록 사용된 BW 사이클 또는 SW 사이클의 수는 또한 가변한다 (1, 2, 3 또는 4). 도 5 및 도 6은 Mo 두께 (Å) vs. CVD 지속기간 (s) 및 Mo 저항률 (μΩ-㎝) vs. Mo 두께 (Å) 를 각각 도시한다.
60 Torr 프로세스 압력에서 45 Torr에서보다 낮은 저항률이 관찰된다. 60 Torr에서 500 ℃와 520 ℃ 사이에 상당한 차는 관찰되지 않는다. 비슷한 BW 핵생성 층 두께 및 SW 핵생성 층 두께에 대해, 보다 낮은 저항률이 SW 핵생성 층들에 대해 관찰된다. 보다 높은 저항률이 보다 박형 (보다 적은 사이클들) SW 핵생성 층들에 대해 관찰된다.
Mo는 상이한 온도들 (500 ℃ 및 520 ℃) 및 압력들 (45 Torr 및 60 Torr) 에서 WCN 상에 CVD에 의해 증착된다. 도 7은 Mo 성장 레이트를 도시하고 도 8은 저항률 vs. Mo 막 두께를 도시한다. 도 9는 WCN 하부층 두께의 함수로서 두께 및 저항률을 도시한다. WCN 에칭이 45 Torr에서 관찰되는 한편, 균일한 Mo 증착이 60 Torr에서 관찰된다. 60 Torr에서, 520 ℃에서 보다 높은 성장 레이트가 관찰되고, 온도는 저항률에 영향을 주지 않는다. Mo는 10 Å만큼 박형으로 WCN 상에서 성장되고, 보다 박형의 WCN는 보다 낮은 저항률을 발생시킨다. SIMS 데이터는 WCN 상의 CVD Mo 가 벌크 내에 0.5 (atomic) % 미만의 총 불순물들 (예를 들어, O, B, C) 로 평활하다는 것을 보여준다.
일부 실시예들에서, Mo는 유전체 하부층들에 대해 금속 표면 또는 순수 (천연 옥사이드 없음) Si 표면 상에 선택적으로 증착될 수도 있다. 예를 들어, 금속 콘택트 또는 MOL (middle of line) 로직 애플리케이션들에 대해, Mo는 금속 상에서 선택적으로 성장될 수 있어서, 보텀-업, 보이드 프리 (void free) 갭 충진을 발생시킨다. 이러한 애플리케이션들에서, Mo는 노출된 실리콘 다이옥사이드 표면 또는 다른 노출된 유전체 표면에 인접한 금속 표면 또는 Si 표면 직상에 증착될 수도 있다. Mo가 금속 표면 상에서 우선적으로 증착되도록 유전체 상에 핵생성 지연이 있다. 예를 들어, 금속 하단부 및 실리콘 다이옥사이드 측벽들을 갖는 피처가 Mo-함유 전구체 및 공-반응물질에 노출될 수도 있다. Mo는 측벽들로부터 대신 보텀-업으로 성장할 것이다.
어닐링
일부 실시예들에서, 열적 어닐링이 Mo 증착 후에 수행된다. 이는 Mo 입자 성장 및 보다 낮은 저항률을 허용할 수 있다. Mo의 융점이 W의 융점보다 낮기 때문에, 입자 성장 및 동반되는 저항률의 감소는 Mo 막들에 대해 보다 저온들에서 발생한다. 어닐링 온도들의 예들은 700 ℃ 내지 1100 ℃의 범위이다. 어닐링은 노 (furnace) 에서 또는 신속한 열적 어닐링에 의해 수행될 수도 있다. 다양한 실시예들에 따라, 이는 수소 (H2) 분위기 (ambient), 질소 (N2) 분위기, 또는 진공을 포함하는, 임의의 적절한 분위기에서 수행될 수도 있다.
다양한 실시예들에 따라, Mo 막은 증착과 어닐링 사이에 대기에 노출될 수도 있고 또는 노출되지 않을 수도 있다. 대기 또는 다른 산화 분위기에 노출된다면, 노출의 결과로서 형성된 몰리브덴 다이옥사이드 (MoO2) 또는 몰리브덴 트리옥사이드 (MoO3) 를 제거하기 위해 어닐링 동안 또는 어닐링 전에 환원 분위기가 채용될 수도 있다. 특히 MoO3 는 795 ℃의 융점을 갖고 제거되지 않는다면 어닐링 동안 용융될 수 있다.
이하의 표 1은 2 개의 W 막들 (A 및 B) 그리고 2 개의 Mo 막들 (C 및 D) 을 비교한다.
A B C D
저항률 20 ㎚에서 20 μΩ-㎝ 20 ㎚에서 28 μΩ-㎝
10 ㎚에서 40 μΩ-㎝
10 ㎚에서 25 μΩ-㎝ (800 ℃ 어닐링 후) 10 ㎚에서 17 μΩ-㎝
조성 <3E18 at/㎤ F <5E18 at/㎤ Cl, 검출 한계 이하의 F 95 % Mo + 5 % H,
<1E19 at/㎤ Cl
<1 % O,
<1E19 at/㎤ Cl
응력 20 ㎚에서 <0.55 Gpa 20 ㎚에서 < 0.2 Gpa 70 ㎚에서 0.4 GPa 30 ㎚에서 0.6 GPa
막 A는 WF6를 사용하여 증착된 저 불소 텅스텐 (LFW) 막이다. 막 B는 WCl5 및 WCl6를 사용하여 증착된 텅스텐 막이다. 막 C는 MoCl5를 사용하여 증착된 몰리브덴 막이고 막 D는 MoOCl4를 사용하여 증착된 몰리브덴 막이다. 막 D는 증착 후 어닐링을 겪는다. 특히, 저항률은 막 A 및 막 B보다 막 C 및 막 D에 대해 보다 낮다. 저항률은 40 μΩ (막 A) 에 직접적으로 비교하여 25 μΩ (막 C) 및 17 μΩ (막 D) 의 두께로 감소한다. O-함유 전구체를 사용하여 증착된 막 D는 저 O를 도시한다. 막 C 및 막 D의 응력은 막 A 및 막 B의 응력과 비슷하다.도 10은 800 ℃에서 어닐링 후 WCN 상에 증착된 다양한 두께들의 Mo 막들에 대한 저항률의 감소를 보여주는 그래프이다. WCN 상의 W 막의 저항률이 비교를 위해 또한 도시되었다. 저항률의 상당한 감소가 관찰되었다. 저항률의 감소는 입자 성장으로 인한 것이다. 이하의 표 2는 증착될 때 그리고 어닐링 후 CVD Mo 막들의 Mo 입자들에 대한 상태들 및 평균 입자 사이즈를 보여준다.
샘플 평균 결정 사이즈 ( ㎚)
증착될 때 CVD Mo/WCN Mo - 몰리브덴 큐브 (Cubic) 14.5
어닐링 후 CVD Mo/WCN Mo - 몰리브덴 큐브 33.5
800 ℃, H2 분위기에서 1 시간 및 5 분의 노 어닐링은 비슷한 결과들을 보여준다.장치
임의의 적합한 챔버가 개시된 실시예들을 구현하도록 사용될 수도 있다. 예시적인 증착 장치들은 다양한 시스템들, 예를 들어, California, Fremont 소재의 Lam Research Corp.로부터 입수가능한, ALTUS® 및 ALTUS® Max, 또는 임의의 다양한 다른 상업적으로 입수가능한 프로세싱 시스템들을 포함한다. 프로세스는 복수의 증착 스테이션들 상에서 병렬로 수행될 수 있다.
일부 실시예들에서, 텅스텐 핵생성 프로세스가 단일 증착 챔버 내에 위치된 2, 5, 또는 훨씬 보다 많은 증착 스테이션들 중 하나인, 제 1 스테이션에서 수행된다. 일부 실시예들에서, 핵생성 프로세스를 위한 다양한 단계들이 증착 챔버의 2 개의 상이한 스테이션들에서 수행된다. 예를 들어, 기판은 기판 표면에서 국소화된 대기를 생성하는 개별 가스 공급 시스템을 사용하여 제 1 스테이션에서 디보란 (B2H6) 에 노출될 수도 있고, 이어서 기판은 핵생성 층을 증착하기 위해 텅스텐 헥사클로라이드 (WCl6) 와 같은 전구체에 노출되도록 제 2 스테이션으로 이송될 수도 있다. 일부 실시예들에서, 이어서 기판은 디보란의 두번째 노출을 위해 제 1 스테이션으로 다시 이송될 수도 있고 또는 제 3 반응물질 노출을 위해 제 3 스테이션으로 이송될 수도 있다. 이어서 기판은 텅스텐 핵생성을 완료하고 동일한 스테이션 또는 상이한 스테이션의 벌크 몰리브덴 증착을 진행하도록 WCl6 (또는 다른 텅스텐 클로라이드) 로의 노출을 위해 제 2 스테이션으로 이송될 수도 있다. 하나 이상의 스테이션들이 나중에 상기 기술된 바와 같이 Mo CVD를 수행하도록 사용될 수 있다.
도 11은 본 명세서에 기술된 실시예들에 따른 증착 프로세스들을 수행하기 적합한 프로세싱 시스템의 블록도이다. 시스템 (1100) 은 이송 모듈 (1103) 을 포함한다. 이송 모듈 (1103) 은 프로세싱될 기판들이 다양한 반응기 모듈들 사이에서 이동될 때 기판들의 오염 위험을 최소화하도록 청정한, 가압된 분위기를 제공한다. PNL 증착으로 지칭될 수도 있는, 핵생성 층 증착, 뿐만 아니라 본 명세서에 기술된 실시예들에 따른, CVD 증착을 수행할 수 있는 멀티-스테이션 반응기 (1109) 가 이송 모듈 (1103) 상에 장착된다. 챔버 (1109) 는 이들 동작들을 순차적으로 수행할 수도 있는 복수의 스테이션들 (1111, 1113, 1115, 및 1117) 을 포함할 수도 있다. 예를 들어, 챔버 (1109) 는 스테이션들 (1111 및 1113) 이 PNL 증착을 수행하고, 스테이션들 (1113 및 1115) 은 CVD를 수행하도록 구성될 수 있다. 증착 스테이션 각각은 가열된 웨이퍼 페데스탈 및 샤워헤드, 확산 플레이트 또는 다른 가스 유입구를 포함할 수도 있다.
플라즈마 또는 화학적 (비-플라즈마) 사전-세정을 수행할 수 있는 하나 이상의 단일 또는 멀티-스테이션 모듈들 (1107) 이 이송 모듈 (1103) 상에 또한 장착될 수도 있다. 모듈은 또한 다양한 다른 처리들, 예를 들어, 환원제 소킹 (soaking) 을 위해 사용될 수도 있다. 시스템 (1100) 은 또한 웨이퍼들이 프로세싱 전 그리고 프로세싱 후에 저장되는, 하나 이상의 (이 경우 2개) 웨이퍼 소스 모듈들 (1101) 을 포함한다. 대기 이송 챔버 (1119) 내 대기 로봇 (미도시) 이 먼저 소스 모듈들 (1101) 로부터 로드록들 (1121) 로 웨이퍼들을 제거한다. 이송 모듈 (1103) 내 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 는 로드록들 (1121) 로부터 이송 모듈 (1103) 상에 장착된 모듈들로 그리고 모듈들 사이에서 웨이퍼들을 이동시킨다.
특정한 실시예들에서, 시스템 제어기 (1129) 가 증착 동안 프로세스 조건들을 제어하도록 채용된다. 제어기는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 접속부들 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
제어기는 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, 사용된다면 RF (radio frequency) 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행한다. 제어기와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에서 채용될 수도 있다.
통상적으로, 제어기와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 사용자 입력 디바이스들, 예컨대 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 설계되거나 구성될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들이 하드코딩될 수도 있고 또는 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은 DSPs (digital signal processors), ASICs (application-specific integrated circuits) 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들 내에 하드코딩된 로직을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 인스트럭션 또는 펌웨어 인스트럭션을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다. 대안적으로, 제어 로직은 제어기 내에 하드코딩될 수도 있다. ASICs, PLDs (Programmable Logic Devices) (예를 들어, FPGAs (field-programmable gate arrays)) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다 기능적으로 유사한 하드코딩된 로직이 그 자리에 사용될 수도 있다.
프로세스 시퀀스의 증착 프로세스 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 예를 들어, 어셈블리어, C, C++, Pascal, Fortran, 기타 등등의 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트는 프로그램 내에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
제어기 파라미터들은 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들 및 저주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들과 관련된다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 입력 접속부들 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치의 아날로그 출력 접속부들 및 디지털 출력 접속부들 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들은 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 히터 제어 코드, 및 플라즈마 제어 코드를 포함한다.
일부 구현예들에서, 제어기 (1129) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들, 및/또는 특정한 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전, 프로세싱 동안, 그리고 프로세싱 후 시스템들의 동작을 제어하기 위해 전자제품들과 통합될 수도 있다. 전자제품들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위 부품들을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 프로세싱 요건들 및/또는 시스템의 타입에 따라, 제어기 (1129) 는 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 일부 시스템들에서 RF (radio frequency) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정한 시스템과 연결되거나 인터페이싱된 로드 록들 내로 그리고 이로부터 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등, 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는, 전자제품들로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어 형태의 칩들, DSPs (digital signal processors), ASICs (application specific integrated circuits) 로 규정된 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로컨트롤러들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대해 특정한 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 통신된 인스트럭션들일 수도 있다. 동작 파라미터들은, 일부 실시예들에서, 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼들의 다이들의 제조 동안 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해 규정된 레시피의 일부일 수도 있다.
일부 구현예들에서, 제어기 (1129) 는 시스템과 통합되거나, 시스템에 커플링되거나, 시스템에 달리 네트워크되거나, 또는 이들의 조합인 컴퓨터의 일부이거나 컴퓨터에 커플링될 수도 있다. 예를 들어, 제어기 (1129) 는 웨이퍼 프로세싱의 원격 액세스를 허용할 수 있는, fab 호스트 컴퓨터 시스템의 "클라우드" 내에 있거나 전부 또는 일부일 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 검토하고, 복수의 제조 동작들로부터 경향들 또는 성능 메트릭들을 검토하고, 현재 프로세싱의 파라미터들을 변화시키고, 현재 프로세싱에 이어지는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하도록 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 Internet을 포함할 수도 있는, 네트워크를 통해 시스템으로 프로세스 레시피들을 제공할 수 있다. 원격 컴퓨터는 나중에 원격 컴퓨터로부터 시스템으로 통신되는, 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 명시하는 데이터의 형태로 인스트럭션들을 수신한다. 파라미터들은 수행될 프로세스의 타입 및 제어기가 인터페이싱하거나 제어하도록 구성된 툴의 타입에 특정될 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목표를 향해 작동하고 함께 네트워크되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위해 분산된 제어기의 예는 챔버 상의 프로세스를 제어하도록 결합되는 (플랫폼 레벨로 또는 원격 컴퓨터의 일부로서) 이격되어 위치된 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비제한적으로, 예시적인 시스템들은 반도체 웨이퍼들의 제조 및/또는 제작에 사용되거나 연관될 수도 있는, 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, 및 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기 주지된 바와 같이, 툴에 의해 수행될 프로세스 단계 또는 단계들에 따라, 제어기는 반도체 제작 공장의 툴 위치들 및/또는 로드 포트들로/로부터 웨이퍼들의 컨테이너들을 가져 가는 재료 이송에 사용된 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접한 툴들, 이웃하는 툴들, 공장 도처에 위치된 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들과 통신할 수도 있다.
제어기 (1129) 는 다양한 프로그램들을 포함할 수도 있다. 기판 포지셔닝 프로그램은 페데스탈 또는 척 상으로 기판을 로딩하고 기판과 가스 유입구 및/또는 타깃과 같은 챔버의 다른 부품 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하고 선택가능하게 챔버 내 압력을 안정화하기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템의 쓰로틀 밸브를 조절함으로써 챔버 내 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼 척으로 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 챔버 센서들의 예들은 질량 유량 제어기들, 마노미터들과 같은 압력 센서들, 및 페데스탈 또는 척 내에 위치된 서모커플들을 포함한다. 적절히 프로그래밍된 피드백 및 제어 알고리즘들이 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터와 함께 사용될 수도 있다.
전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴에서 본 개시의 실시예들의 구현예를 기술한다.
전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴에서 개시된 실시예들의 구현예를 기술한다. 본 명세서에 기술된 장치 및 프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LEDs, 광전 패널들, 기타 등등의 제조 또는 제작을 위해 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래피 패터닝은 이하의 단계들: (1) 스핀-온 또는 스프레이-온 툴을 사용한 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용한 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴로 가시광 또는 UV 또는 x-레이 광에 포토레지스트를 노출하는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마-보조된 에칭 툴을 사용하여 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계 중 일부 또는 전부를 포함하고, 단계 각각은 다수의 가능한 툴들을 사용하여 제공된다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 이는 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 이에 따라, 본 실시예들은 제한이 아닌 예시로서 간주되어야 하고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (30)

  1. 유전체 재료가 상부에 형성된 기판을 제공하는 단계;
    상기 유전체 재료 상에 핵생성 층을 형성하는 단계; 및
    상기 핵생성 층 상에 몰리브덴 막을 형성하기 위해, 상기 핵생성 층이 상부에 형성된 상기 기판으로 몰리브덴-함유 가스 및 환원 가스를 공급하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 핵생성 층을 형성하는 단계는 텅스텐 헥사플루오라이드-함유 가스, 실리콘-함유 가스 및 붕소-함유 가스로부터 선택된 적어도 하나의 가스에 상기 기판을 노출시키는, 방법.
  3. 제 1 항에 있어서,
    상기 몰리브덴 막을 증착하는 단계가 제 1 기판 온도에서의 제 1 동작 및 제 2 기판 온도에서의 제 2 동작을 포함하고, 상기 제 1 기판 온도는 상기 제 2 기판 온도보다 더 낮은, 방법.
  4. 제 1 항에 있어서,
    상기 핵생성 층은 몰리브덴을 포함하는, 방법.
  5. 유전체 표면을 갖는 피처를 포함하는 기판을 제공하는 단계;
    확산 배리어 층을 개재하지 않고 상기 유전체 표면 직상에 (directly on) 몰리브덴 층을 형성하는 단계를 포함하는, 방법.
  6. 제 5 항에 있어서,
    상기 몰리브덴 층을 형성하는 단계는 상기 유전체 표면 상에 환원제 층을 형성하는 단계를 포함하는, 방법.
  7. 제 6 항에 있어서,
    상기 몰리브덴 층을 형성하는 단계는 상기 환원제 층을 몰리브덴-함유 전구체에 노출하는 단계를 더 포함하는, 방법.
  8. 제 7 항에 있어서,
    상기 환원제 층은 상기 노출에 의해 몰리브덴으로 변환되는, 방법.
  9. 제 6 항에 있어서,
    상기 환원제 층은 상기 피처에 컨포멀한 (conformal), 방법.
  10. 제 6 항에 있어서,
    상기 환원제 층은 10 Å 내지 50 Å 두께인, 방법.
  11. 제 5 항에 있어서,
    상기 유전체 표면은 실리콘 옥사이드 표면인, 방법.
  12. 제 5 항에 있어서,
    상기 유전체 표면은 실리콘 나이트라이드 표면인, 방법.
  13. 제 5 항에 있어서,
    상기 유전체 표면은 알루미늄 옥사이드 표면인, 방법.
  14. 제 5 항에 있어서,
    상기 피처는 전도성 표면을 더 포함하는, 방법.
  15. 제 5 항에 있어서,
    몰리브덴 층은 1 (atomic) %보다 더 적은 불순물들을 갖는, 방법.
  16. 제 5 항에 있어서,
    몰리브덴 층은 몰리브덴 헥사플루오라이드 (MoF6), 몰리브덴 펜타클로라이드 (MoCl5), 몰리브덴 디클로라이드 다이옥사이드 (MoO2Cl2), 몰리브덴 테트라클로라이드 옥사이드 (MoOCl4), 및 몰리브덴 헥사카르보닐 (Mo(CO)6) 중 하나로부터 형성되는, 방법.
  17. 제 5 항에 있어서,
    몰리브덴 층이 유기금속성 전구체로부터 형성되는, 방법.
  18. 유전체 표면을 갖는 피처를 포함하는 기판을 제공하는 단계;
    상기 유전체 표면 직상을 포함하여, 상기 피처 내에 컨포멀한 환원제 층을 형성하는 단계; 및
    상기 유전체 표면 직상을 포함하여, 컨포멀한 몰리브덴 층을 형성하도록 몰리브덴-함유 전구체에 상기 환원제 층을 노출시키는 단계를 포함하는, 방법.
  19. 제 18 항에 있어서,
    상기 환원제 층은 10 Å 내지 50 Å 두께인, 방법.
  20. 제 18 항에 있어서,
    상기 유전체 표면은 실리콘 옥사이드 표면, 실리콘 나이트라이드 표면, 또는 알루미늄 옥사이드 표면인, 방법.
  21. 제 18 항에 있어서,
    상기 몰리브덴 전구체는 몰리브덴 헥사플루오라이드 (MoF6), 몰리브덴 펜타클로라이드 (MoCl5), 몰리브덴 디클로라이드 다이옥사이드 (MoO2Cl2), 몰리브덴 테트라클로라이드 옥사이드 (MoOCl4), 및 몰리브덴 헥사카르보닐 (Mo(CO)6) 중 하나인, 방법.
  22. 제 18 항에 있어서,
    몰리브덴 전구체는 유기금속성 전구체인, 방법.
  23. 제 1 환원제를 사용하여 기판 상에 몰리브덴-함유 핵생성 층을 증착하는 단계; 및
    제 2 환원제를 사용하여 상기 몰리브덴-함유 핵생성 층 상에 몰리브덴 벌크 층을 화학적 기상 증착 (chemical vapor deposition; CVD) 에 의해 증착하는 단계를 포함하고, 상기 제 2 환원제는 상기 제 1 환원제와 상이한, 방법.
  24. 제 23 항에 있어서,
    상기 몰리브덴 벌크 층은 몰리브덴 헥사플루오라이드 (MoF6), 몰리브덴 펜타클로라이드 (MoCl5), 몰리브덴 디클로라이드 다이옥사이드 (MoO2Cl2), 몰리브덴 테트라클로라이드 옥사이드 (MoOCl4), 및 몰리브덴 헥사카르보닐 (Mo(CO)6) 로부터 선택된 몰리브덴 화합물에 의해 증착되는, 방법.
  25. 부분적으로 제작된 반도체 기판의 3D 구조체를 제공하는 단계로서, 상기 3D 구조체는 측벽들, 개구부들을 통해 유체적으로 액세스가능한 복수의 내부 영역들을 갖는 복수의 피처들로 이어지는 상기 측벽들 내의 복수의 상기 개구부들을 포함하는, 상기 3D 구조체를 제공하는 단계;
    제 1 몰리브덴 층이 상기 3D 구조체의 상기 복수의 피처들을 컨포멀하게 라이닝하도록 상기 3D 구조체 내에 컨포멀한 상기 제 1 몰리브덴 층을 증착하는 단계; 및
    제 2 몰리브덴 층이 상기 3D 구조체의 상기 복수의 내부 영역들을 적어도 부분적으로 충진하도록 상기 제 1 몰리브덴 층 상의 상기 3D 구조체 내에 상기 제 2 몰리브덴 층을 증착하는 단계를 포함하는, 방법.
  26. 제 25 항에 있어서,
    컨포멀한 제 1 몰리브덴 층을 증착하는 단계는 기판을 환원제 가스 및 몰리브덴 (Mo)-함유 전구체 가스에 노출하는 단계를 포함하고, 상기 기판 온도는 상기 환원제 가스에 대한 노출 동안보다 상기 Mo-함유 전구체 가스에 대한 노출 동안 더 높은, 방법.
  27. 제 26 항에 있어서,
    상기 Mo-함유 전구체 가스는 몰리브덴 클로라이드 또는 몰리브덴 옥시클로라이드 가스인, 방법.
  28. 노출된 제 1 표면 및 노출된 유전체 표면을 포함하는 기판을 제공하는 단계로서, 상기 제 1 표면은 금속 표면 또는 순수 실리콘 (Si) 표면인, 상기 기판을 제공하는 단계; 및
    상기 노출된 유전체 표면에 대해 상기 노출된 제 1 표면 상에 Mo 층을 우선적으로 증착하기 위해 상기 기판을 몰리브덴 (Mo)-함유 전구체 및 공-반응 물질에 노출시키는 단계를 포함하는, 방법.
  29. 제 28 항에 있어서,
    상기 노출된 유전체 표면은 상기 기판 상의 피처의 측벽 표면인, 방법.
  30. 제 28 항에 있어서,
    상기 제 1 표면은 상기 피처의 하단부의 금속 표면인, 방법.
KR1020237028915A 2017-04-10 2018-04-09 몰리브덴을 함유하는 저 저항률 막들 KR20230127377A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762483857P 2017-04-10 2017-04-10
US62/483,857 2017-04-10
PCT/US2018/026746 WO2018191183A1 (en) 2017-04-10 2018-04-09 Low resistivity films containing molybdenum
KR1020227026373A KR102572271B1 (ko) 2017-04-10 2018-04-09 몰리브덴을 함유하는 저 저항률 막들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020227026373A Division KR102572271B1 (ko) 2017-04-10 2018-04-09 몰리브덴을 함유하는 저 저항률 막들

Publications (1)

Publication Number Publication Date
KR20230127377A true KR20230127377A (ko) 2023-08-31

Family

ID=63711237

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020227026373A KR102572271B1 (ko) 2017-04-10 2018-04-09 몰리브덴을 함유하는 저 저항률 막들
KR1020237028915A KR20230127377A (ko) 2017-04-10 2018-04-09 몰리브덴을 함유하는 저 저항률 막들
KR1020197033130A KR102466639B1 (ko) 2017-04-10 2018-04-09 몰리브덴을 함유하는 저 저항률 막들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020227026373A KR102572271B1 (ko) 2017-04-10 2018-04-09 몰리브덴을 함유하는 저 저항률 막들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020197033130A KR102466639B1 (ko) 2017-04-10 2018-04-09 몰리브덴을 함유하는 저 저항률 막들

Country Status (6)

Country Link
US (4) US10510590B2 (ko)
JP (2) JP7224335B2 (ko)
KR (3) KR102572271B1 (ko)
CN (1) CN110731003B (ko)
TW (1) TW201903847A (ko)
WO (1) WO2018191183A1 (ko)

Families Citing this family (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102572271B1 (ko) 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US20190067095A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019213604A1 (en) 2018-05-03 2019-11-07 Lam Research Corporation Method of depositing tungsten and other metals in 3d nand structures
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131628A1 (en) * 2018-10-24 2020-04-30 Entegris, Inc. Method for forming molybdenum films on a substrate
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR102355507B1 (ko) * 2018-11-14 2022-01-27 (주)디엔에프 몰리브덴 함유 박막의 제조방법 및 이로부터 제조된 몰리브덴함유 박막
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR20220129105A (ko) * 2018-11-19 2022-09-22 램 리써치 코포레이션 텅스텐을 위한 몰리브덴 템플릿들
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113366144B (zh) * 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
SG11202109796QA (en) 2019-03-11 2021-10-28 Lam Res Corp Precursors for deposition of molybdenum-containing films
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
WO2021030327A1 (en) * 2019-08-12 2021-02-18 Applied Materials, Inc. Molybdenum thin films by oxidation-reduction
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) * 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP2021034591A (ja) * 2019-08-26 2021-03-01 キオクシア株式会社 半導体装置およびその製造方法
CN110512208A (zh) * 2019-09-03 2019-11-29 北京工业大学 一种在钛合金表面制备强附着力钼层的方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
CN114667600A (zh) * 2019-10-15 2022-06-24 朗姆研究公司 钼填充
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
JP7117336B2 (ja) * 2020-01-30 2022-08-12 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210111017A (ko) * 2020-03-02 2021-09-10 주식회사 원익아이피에스 기판 처리 방법 및 이를 이용하여 제조된 반도체 소자
US11821080B2 (en) 2020-03-05 2023-11-21 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Reagents to remove oxygen from metal oxyhalide precursors in thin film deposition processes
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
WO2021239596A1 (en) * 2020-05-26 2021-12-02 Merck Patent Gmbh Methods of forming molybdenum-containing films deposited on elemental metal films
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11560397B2 (en) 2020-07-09 2023-01-24 Entegris, Inc. Group VI precursor compounds
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
KR20220011092A (ko) * 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템
TW202204662A (zh) * 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN116134173A (zh) * 2020-09-18 2023-05-16 株式会社国际电气 半导体装置的制造方法、记录介质及基板处理装置
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11390638B1 (en) 2021-01-12 2022-07-19 Applied Materials, Inc. Molybdenum(VI) precursors for deposition of molybdenum films
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
US11459347B2 (en) 2021-01-12 2022-10-04 Applied Materials, Inc. Molybdenum(IV) and molybdenum(III) precursors for deposition of molybdenum films
US11854813B2 (en) 2021-02-24 2023-12-26 Applied Materials, Inc. Low temperature deposition of pure molybenum films
JP2024514605A (ja) * 2021-04-14 2024-04-02 ラム リサーチ コーポレーション モリブデンの堆積
US11760768B2 (en) 2021-04-21 2023-09-19 Applied Materials, Inc. Molybdenum(0) precursors for deposition of molybdenum films
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113463063A (zh) * 2021-06-11 2021-10-01 厦门中材航特科技有限公司 一种难熔金属材料的制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230142966A1 (en) * 2021-11-10 2023-05-11 Entegris, Inc. Molybdenum precursor compounds
WO2023114648A1 (en) * 2021-12-15 2023-06-22 Lam Research Corporation Low temperature molybdenum deposition assisted by silicon-containing reactants
US20240026529A1 (en) * 2022-07-20 2024-01-25 Applied Materials, Inc. Conformal molybdenum deposition
US20240038541A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Methods for removing molybdenum oxides from substrates

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US162106A (en) * 1875-04-13 Improvement in corn-planters
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
JP2536377B2 (ja) * 1992-11-27 1996-09-18 日本電気株式会社 半導体装置およびその製造方法
TW314654B (en) 1996-09-07 1997-09-01 United Microelectronics Corp Manufacturing method of conductive plug
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
CN1115723C (zh) 1996-11-15 2003-07-23 三星电子株式会社 氮化钨层制造方法及使用同样原理的金属连线制造方法
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6958174B1 (en) * 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
FR2795745B1 (fr) * 1999-06-30 2001-08-03 Saint Gobain Vitrage Procede de depot d'une couche a base de tungstene et/ou de molybdene sur un substrat verrier, ceramique ou vitroceramique, et substrat ainsi revetu
US6358788B1 (en) 1999-08-30 2002-03-19 Micron Technology, Inc. Method of fabricating a wordline in a memory array of a semiconductor device
KR100330163B1 (ko) 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
JP2001284360A (ja) * 2000-03-31 2001-10-12 Hitachi Ltd 半導体装置
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
JP2005026380A (ja) 2003-06-30 2005-01-27 Toshiba Corp 不揮発性メモリを含む半導体装置及びその製造方法
JP2005150416A (ja) 2003-11-17 2005-06-09 Hitachi Ltd 半導体集積回路装置及びその製造方法
US8278216B1 (en) 2006-08-18 2012-10-02 Novellus Systems, Inc. Selective capping of copper
KR100881391B1 (ko) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
JP2009024252A (ja) 2007-05-15 2009-02-05 Applied Materials Inc タングステン材料の原子層堆積法
KR100890047B1 (ko) 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US8080324B2 (en) 2007-12-03 2011-12-20 Kobe Steel, Ltd. Hard coating excellent in sliding property and method for forming same
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8385644B2 (en) 2008-07-08 2013-02-26 Zeitera, Llc Digital video fingerprinting based on resultant weighted gradient orientation computation
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
JP2010093116A (ja) 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US20100120245A1 (en) 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
KR20100096488A (ko) * 2009-02-24 2010-09-02 삼성전자주식회사 리세스 채널 구조를 갖는 반도체 소자
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
JP5730670B2 (ja) * 2011-05-27 2015-06-10 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料
WO2013063260A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
KR102100520B1 (ko) 2012-03-27 2020-04-14 노벨러스 시스템즈, 인코포레이티드 핵생성 억제를 사용하는 텅스텐 피처 충진
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
WO2014140672A1 (en) * 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US20150348840A1 (en) 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten
US9551074B2 (en) * 2014-06-05 2017-01-24 Lam Research Corporation Electroless plating solution with at least two borane containing reducing agents
US20160064409A1 (en) * 2014-08-29 2016-03-03 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
JP2016098406A (ja) * 2014-11-21 2016-05-30 東京エレクトロン株式会社 モリブデン膜の成膜方法
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US11047042B2 (en) * 2015-05-27 2021-06-29 Asm Ip Holding B.V. Synthesis and use of precursors for ALD of molybdenum or tungsten containing thin films
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US10121671B2 (en) * 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
WO2018013778A1 (en) * 2016-07-14 2018-01-18 Entegris, Inc. Cvd mo deposition by using mooc14
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US20180142345A1 (en) * 2016-11-23 2018-05-24 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US10453744B2 (en) 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US10283404B2 (en) 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
KR102572271B1 (ko) 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US10607895B2 (en) * 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR20210028273A (ko) 2018-07-31 2021-03-11 램 리써치 코포레이션 다층 피처 충진

Also Published As

Publication number Publication date
US10777453B2 (en) 2020-09-15
US20200365456A1 (en) 2020-11-19
US20220223471A1 (en) 2022-07-14
KR102466639B1 (ko) 2022-11-11
KR102572271B1 (ko) 2023-08-28
KR20220110343A (ko) 2022-08-05
CN110731003B (zh) 2024-03-26
US10510590B2 (en) 2019-12-17
WO2018191183A1 (en) 2018-10-18
CN110731003A (zh) 2020-01-24
KR20190130046A (ko) 2019-11-20
TW201903847A (zh) 2019-01-16
JP2020513065A (ja) 2020-04-30
JP2022184943A (ja) 2022-12-13
US20200075403A1 (en) 2020-03-05
US20180294187A1 (en) 2018-10-11
JP7224335B2 (ja) 2023-02-17

Similar Documents

Publication Publication Date Title
KR102572271B1 (ko) 몰리브덴을 함유하는 저 저항률 막들
US10529722B2 (en) Tungsten for wordline applications
US9595470B2 (en) Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
KR102515236B1 (ko) 저 저항 텅스텐 피처 충진을 가능하게 하는 텅스텐 핵생성 프로세스
US9159571B2 (en) Tungsten deposition process using germanium-containing reducing agent
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
WO2019099997A1 (en) Self-limiting growth
KR20220082023A (ko) 몰리브덴 충진
US20220359211A1 (en) Molybdenum templates for tungsten
KR20220160624A (ko) 핵생성 억제에 의한 피처 충진
KR20210141762A (ko) 고 단차 커버리지 (step coverage) 텅스텐 증착
KR20230104542A (ko) 텅스텐 저 저항 펄싱된 cvd
US20220349048A1 (en) Reducing line bending during metal fill process

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal