KR100330163B1 - 반도체 장치의 텅스텐 콘택 플러그 형성 방법 - Google Patents

반도체 장치의 텅스텐 콘택 플러그 형성 방법 Download PDF

Info

Publication number
KR100330163B1
KR100330163B1 KR1020000000495A KR20000000495A KR100330163B1 KR 100330163 B1 KR100330163 B1 KR 100330163B1 KR 1020000000495 A KR1020000000495 A KR 1020000000495A KR 20000000495 A KR20000000495 A KR 20000000495A KR 100330163 B1 KR100330163 B1 KR 100330163B1
Authority
KR
South Korea
Prior art keywords
layer
tungsten
forming
titanium
contact hole
Prior art date
Application number
KR1020000000495A
Other languages
English (en)
Other versions
KR20010068542A (ko
Inventor
장성대
최진호
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR1020000000495A priority Critical patent/KR100330163B1/ko
Priority to US09/754,267 priority patent/US6413853B2/en
Priority to JP2001000738A priority patent/JP4191900B2/ja
Publication of KR20010068542A publication Critical patent/KR20010068542A/ko
Application granted granted Critical
Publication of KR100330163B1 publication Critical patent/KR100330163B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 반도체 장치의 텅스텐 콘택 플러그 형성 방법에 관한 것으로, 반도체장치의 제조에 있어서, 절연막층에 콘택홀을 형성하는 단계, 콘택홀 위로 티타늄층을 형성하는 단계, 상기 티타늄층 위로 티타늄 질화막층을 형성하는 단계, 상기 티타늄 질화막층 위로 CVD 미세 텅스텐층을 50A 이하로 적층하는 단계, 미세 텅스텐층이 적층된 상태에서 열처리를 하는 단계, CVD를 진행하여 텅스텐으로 콘택홀을 채우는 단계를 구비하여 이루어진다.
본 발명에 따르면, 기존의 텅스텐 플러그 형성 방법에서 경미한 변화를 통해서 큰 공정 부담 없이 텅스텐 플러그를 형성하면서 볼케이노 현상이 발생하는 것을 억제할 수 있다.

Description

반도체 장치의 텅스텐 콘택 플러그 형성 방법 {A Method of Forming Tungsten Contact Plug in A Semiconductor Devices}
본 발명은 반도체 장치의 텅스텐 콘택 플러그(Plug) 형성 방법에 관한 것으로, 보다 상세하게는 반도체 장치의 콘택홀(contact hole)에 베리어 메탈(barrier metal)과 CVD(Chemical Vapour Deposition) 텅스텐으로 콘택 플러그를 형성하는 방법에 관한 것이다.
반도체장치의 소자 고집적화 경향에 따라 소자와 배선이 차지하는 평면적 크기는 점차 줄어들게 되며, 소자 고집적화를 위한 한 방법으로 장치 구성의 입체화, 배선의 다층화가 이루어지고 있다. 그 결과, 소자와 배선, 배선과 상층 배선을 연결하는 콘택의 수는 더 많아지고, 할당된 평면상의 크기는 줄어들면서 콘택의 깊이는 상대적으로 덜 줄어들거나 오히려 더 깊어져 콘택 단면의 가로세로비(aspect ratio)는 커진다. 그리고, 층간 절연막에 콘택홀을 형성하고 깊은 콘택홀을 채워서 콘택을 형성하는 것도 점차 어려워지고 있다.
반도체 장치에서 소자 전극과 배선을 연결하는 콘택은, 층간 절연막에 콘택홀을 형성하고 층간 절연막 위로 메탈층을 적층하고 패터닝 하여 메탈 배선을 형성하는 과정에서 메탈층이 적층될 때 콘택홀을 채움으로써 형성되는 경우가 많다. 그리고, 메탈 배선의 재질로는 전기전도성이 높고 스퍼터링(sputtering) 작업에 편리한 알루미늄이 많이 사용되었다. 한편, 콘택에서의 가로세로비가 커지면서 오버 행(over hang)이나 보이드(void) 현상이 한번의 스퍼터링 공정으로 콘택홀을 채우기 어려워렵게 하므로 일단 적층된 알루미늄의 융점이 낮은 특성을 이용하여 가열로 리플로우(reflow)시키는 방법을 사용하게 된다. 알루미늄 리플로우를 통해 오버 행을 없애고 콘택홀 공간에 알루미늄이 더 채워지도록 하는 것이다. 단순히 리플로우로 완료될 수 있으나 대개는 단차가 개선된 상황에서 재차 알루미늄 스퍼터링을 실시하여 콘택을 완성하게 된다.
알루미늄을 이용하는 콘택 형성에서 다른 문제점은 알루미늄이 트랜지스터전극 연결을 위해 실리콘 기판과 닿는 경우 실리콘 원소가 알루미늄으로 확산되어 계면에 뾰죽하게 공간이 발생하고 정상적인 전기적 연결을 방해하는 스파이킹 현상이다. 따라서 이런 확산을 방지할 목적으로 콘택 메탈을 형성하기 전에 기판에 확산 장벽으로 티타늄과 같은 베리어 메탈을 얇게 적층하여 사용하게 된다.
그러나 반도체 장치의 소자 고집적화가 계속되면서 콘택의 가로세로비는 더욱 커지고 알루미늄 메탈을 통한 콘택의 형성이 어렵게 되면서 공간 채움성이 뛰어난 CVD(Chemical Vapour Deposition) 텅스텐을 콘택 형성용으로 사용하는 경우가 늘어나고 있다. CVD 텅스텐은 공정에서 WF6와 SiH4혹은 H2가스를 소오스 가스로 사용하여 다음의 기초 화학 반응식에 의해 형성된다.
[화학식 1]
2WF6+ 3SiH4= 2W + 6H2+ 3SiF4
[화학식 2]
WF6+ SiH4= W + 2HF + H2+ SiF4
[화학식 3]
WF6+ 3H2= W + 6HF
CVD 텅스텐으로 갭 필(gap fill)이 잘 이루어진 콘택 플러그를 효율적으로 형성하기 위해서 CVD 공정을 조건을 달리하여 복수 단계로 실시하는 방법이 다수 제안되었다.
한편, 텅스텐의 경우도 알루미늄과 같이 실리콘과 만나면 실리콘 원소가 텅스텐으로 확산되어 스파이크(spike) 현상을 일으키므로 베리어 메탈로 티타늄층과 티타늄 질화막을 차례로 적층하여 사용한다. 이때 티타늄 질화막을 더 사용하는 것은 티타늄층이 단독으로 베리어 메탈로 사용된 경우에 CVD 텅스텐 형성을 위한 WF6가스와 접촉하여 SiH4혹은 H2가스와의 반응보다 강한 다음과 같은 화학반응을 일으키기 때문이다.
[화학식 4]
2WF6+ 3Ti = 2W + 3TiF4
그러나 티타늄 질화막층(400)을 티타늄층(300) 위에 적층하는 경우에도 티타늄 질화막층(400)은 다공성을 가진 물질이며 특히 적층 환경에서 기공부분에서 산소의 작용으로 산화막이 기공을 충분히 채우지 않는 경우, 또는 적층시 환경으로 인하여 스트레스가 심하게 작용하고 균열이나 구멍 같은 취약점이 있는 경우에는 WF6가스가 쉽게 티타늄 질화막층(400)을 투과하여 티타늄층(300)과 반응할 수 있고, 이 반응으로 티타늄층(300)이 제거된다면 그 위에 있는 티타늄 질화막층(400)은 쉽게 균열되고 들뜨게 된다(도1 참조).
특히, 들뜨는 현상은 스트레스가 심한 콘택홀의 입구 모서리에서 빈번히 발생한다. 이 상태에서 텅스텐층(510)이 CVD 공정을 통해 적층되면, 베리어 메탈이 역할을 하지 못하여 실리콘의 확산에 따른 스파이크 현상이 일어나 콘택 저항이 증가하는 문제를 일으킬 수 있고, 들떠서 일어난 티타늄 질화막층(400)의 양 표면에 붙어서 다른 표면보다 돌출되는 부분을 만드는 볼케이노(volcano) 현상을일으킨다(도2 참조). 그리고, 이 돌출부는 일반적인 RIE(Reactive Ion Etching) 에치백(etch back)에서 제거되지 않고 층내에서 혹은 층간에 단락 (short)을 일으킬 수 있으므로 문제가 된다.
이런 볼케이노 현상을 막기 위해서는 일반적으로 콘택 입구의 곡률 반경을 늘려 부분적인 스트레스를 줄이고 티타늄 질화막의 조성 및 어닐링 조건을 정확히 통제하여 티타늄 질화막을 다공성이 작고 치밀한 조직을 만들도록 해야하지만 반도체 장치의 고집적화에 따라 평면적 크기가 작은 콘택이 많아지므로 콘택 입구의 곡률 반경을 늘리기 어렵고, 티타늄 질화막 적층 및 어닐링 공정의 조건 관리가 어려워 들뜸 및 볼케이노 현상의 완전한 예방은 어려웠다.
그 외에도 볼케이노 현상을 막기 위한 여러 가지 방법들이 소개되었다. USP. 5,552,339에서는 실리콘층과 텅스텐 플러그 사이의 소위 통상적인 접합층(glue layer)에 비정질 실리콘층을 적층하고 텅스텐 플러그를 형성하는 방법을 소개하고 있으며, USP. 5,874,355에서는 베리어 메탈을 아닐링 하는 공정에서 질소 플라즈마를 인가하여 티타늄 질화막의 다공성 막질을 개선하는 방법이 소개되었다. 또한, USP. 5,672,543에서는 티타늄 질화막이 스트레스를 줄이기 위한 버퍼(buffer)층이 형성되는 방법도 소개되었다. 그러나, 이들 방법도 새로운 단계가 추가되거나, 이에 따른 새로운 문제점을 제거하기 위해서 공정의 조건을 유지하기에 까다로운 문제점이 있는 것이다.
본 발명은 상술한 바와 같은, 텅스텐 콘택 플러그를 형성하면서 발생되는 베리어 메탈의 들뜸 현상 및 이에 따른 볼케이노 현상을 방지하기 위한 것으로, 반도체 장치의 제조 과정에서의 새로운 텅스텐 콘택 플러그 형성방법을 제공하는 것을 목적으로 한다.
본 발명은 또한 기존의 공정에서 크게 벗어나지 않고 간편한 변형을 통해 볼케이노 현상을 효과적으로 방지할 수 있는 텅스텐 콘택 플러그 형성방법을 제공하는 것을 목적으로 한다.
도1은 종래의 텅스텐 콘택 플러그 형성 과정에서 티타늄 질화막의 들뜸이 발생한 상태를 나타내는 단면도;
도2는 종래의 텅스텐 콘택 플럭 형성 과정에서 도1에 이어 텅스텐 적층이 이어져 볼케이노(volcano) 현상을 일으킨 상태를 나타내는 단면도;
도3 내지 도6은 본 발명의 일 실시예의 각 단계를 나타내는 단면도이다.
※도면의 주요 부분에 대한 부호의 설명
110: 콘택홀 200: 층간 절연막
300: 티타늄(Ti)층 400: 티타늄 질화막(TiN)층
500: 미세 텅스텐층 510: 텅스텐층
상기 목적을 달성하기 위한 본 발명은, 반도체장치의 제조에 있어서, 절연막층에 콘택홀을 형성하는 단계, 콘택홀 위로 티타늄층을 형성하는 단계, 상기 티타늄층 위로 티타늄 질화막층을 형성하는 단계, 상기 티타늄 질화막층 위로 CVD 미세 텅스텐층을 50Å 이하로 적층하는 단계, 미세 텅스텐층이 적층된 상태에서 열처리를 하는 단계, CVD를 진행하여 텅스텐으로 콘택홀을 채우는 단계를 구비하여 이루어진다.
본 발명에서 미세 텅스텐층을 적층하는 단계에서는 텅스텐 소오스 가스인 WF6에서 유래된 불소(F) 원소가 티타늄 질화막을 통해 티타늄층으로 투과하여 들어가게 된다. 단, 그 양은 텅스텐층의 두께가 미세하므로 일정 범위로 한정된다. 그리고 다음 단계의 열처리 단계를 실리콘층과 베리어 메탈의 각 층 사이에는 원소의 확산 및 결합이 가령, 실리사이드화 및 나이트라이드화가 이루어지고, 유입된 불소 원소는 티타늄층 전반에 고르게 확산된다. 그 결과, 티타늄층에는 인근 물질층의다른 원소와 함께 티타늄, 티타늄 플로라이드(TiF4) 및 F2성분이 혼재하여 일종의 안정된 고용체를 이루는 형태가 된다.
그리고 텅스텐 플러그 형성을 위한 본격적인 CVD 텅스텐 적층 단계에서 불소 원소가 다수 발생하지만 이미 내부의 베리어 메탈 티타늄층에는 불소 원소가 존재하여 고용체를 이루고 고용체 속에서 균형을 유지하므로 발생한 불소의 티타늄층으로의 유입은 억제된다.
이런 현상은, 물질이 일정의 고용도를 가지고 있으면 추가적인 반응을 하지 않는 성질을 이용하여 공정에 영향을 끼치지 않는 최소한의 양으로 선반응을 일으킨 것이다. 이는 마치 실리콘층 저면을 가진 콘택홀에 플러그 형성을 위한 알루미늄을 스퍼터링을 통해 적층하는 공정에서 일부 실리콘 원소가 함유된 알루미늄을 알루미늄 타겟(target)으로 사용하는 것과 동일한 원리를 가진다.
즉, 알루미늄 타겟을 형성할 때 일부 실리콘을 포함시켜서 사용할 경우, 스퍼터링으로 적층된 알루미늄층이 콘택 저면에서 실리콘층과 접하는 경우에도 이미 알루미늄층 전반에 걸쳐 실리콘 원소가 함유되어 있으며 고용체로서 안정된 상태를 유지하고 있으므로 기판의 실리콘 원소가 알루미늄층으로 확산되는 경향을 완화시키고 그 결과 기판 실리콘 원소의 이동에 의해 계면에 공극이 발생하는 스파이크 현상을 억제할 수 있는 것이다.
이하 도면을 참조하면서, 실시예를 통해 본 발명을 다시 살펴보기로 한다.
도3 내지 도6은 본 발명의 일 실시예의 각 공정 단계를 나타낸 단면도이다.
도3은 하부 구조가 형성된 위로 층간 절연막(200)이 형성된 상태에서 하부 도전층과의 전기적 접속을 위해 층간 절연막(200)에 콘택홀(110)을 형성한 상태를 나타낸다. 콘택홀 저면에는 하부구조의 도전층이 노출되는 것이나 별도로 도시하지 않고 있다. 층간 절연막(200)은 CVD 산화막으로 이루어져 있다.
도4는 도3에서 층간 절연막(200)에 형성된 콘택홀(110)에 베리어 메탈층인 Ti층(300) 및 TiN층(400)을 각각 100 내지 200Å 정도 CVD로 적층한 상태를 나타내는 것이다. 베리어 메탈은 동일한 설비에서 시간에 따라 소오스 가스를 조절하면서 인 시튜(in situ)로 진행하는 것이 공정 효율을 높일 수 있으므로 바람직하다. 또한 CVD로 베리어 메탈을 적층하는 것은 스퍼터링 공정에 의해 적층하는 것에 비하여 막질에 작용하는 스트레스가 적어 후속 공정에서 막에 균열이 발생하는 것을 억제할 수 있기 때문이다. 이 외에도 다양한 형태로 베리어 메탈을 형성할 수 있다.
도5는 도4와 같이 베리어 메탈이 적층된 상태에서 20 내지 30Å 정도로 CVD 미세 텅스텐층(500)을 적층한 상태를 나타낸다. 이 과정에서 소오스 가스인 WF6는 SiH4등과 반응하여 텅스텐이 표면에 적층되고 불산(HF) 가스나 실리콘 플로라이드(SiF4), 수소 등이 발생하면서 일부 WF6입자는 다공성의 티타늄 질화막(TiN)층(400)을 뚫고 티타늄층(300)과 반응하여 티타늄 플로라이드(TiF4)를 형성하여 티타늄 및 미반응된 WF6와 혼합된 상태를 이루게 된다.
이 상태에서 종래의 열처리와 유사한 열처리 공정을 진행하게 된다. 이 열처리 과정은 대개 RTP(Rapid Thermal Processing) 장비에서 진행되는데 티타늄은 750℃ 이상의 온도에서 단번에 혹은 600 내지 700℃의 온도와 750℃ 이상의 온도를 거쳐가면서 계면의 실리콘과의 함께 티타늄 실리사이드를 형성하며 그 결과 이 과정은 계면 저항을 낮추는 역할을 한다.
대개 질소 분위기 혹은 산소가 포함된 분위기에서 이루어지며 질소 분위기에서 이루어질 경우 티타늄 질화막층(400)의 다공성 재질이 충진되어 가스 입자의 투과가 잘 이루어지지 않는 견고한 재질로 개선되는 효과도 있으며, 별도의 티타늄 질화막층(400)이 없는 경우에도 표면에 티타늄 질화막이 형성되기도 한다. 그리고, 고온에 의해 원소들의 확산이 빠르게 이루어지면서 전단계의 티타늄 플로라이드 및 불소 원소도 티타늄층(300)에 고르게 분포하게 된다.
도6은 도5의 상태에서 열처리를 진행하고 본격적인 텅스텐층(510) 적층을 실시하여 텅스텐 플러그를 형성한 상태를 나타내는 단면도이다. 이 단계에서는 텅스텐이 3500 내지 5000Å 정도의 두께로 적층되어 콘택홀을 채우게 되며, 베리어 메탈과 동일한 장비에서 인시튜(in situ) 형태로 진행할 수 있다. 종래의 경우 베리어 메탈 적층 후 어닐링 하는 단계와 이 텅스텐 플러그 형성 단계에서의 공정 조건에 따라 티타늄 질화막층(400)이 영향을 받아 WF 가스의 투과가 가속화되고 티타늄 질화막층(400)의 벗겨짐과 볼케이노 현상이 빈번히 발생하게 되지만, 본 발명에서는 티타늄층(300)이 티타늄 플로라이드와 불소 원소를 고용하고 있으므로 공정 조건의 마아진을 넓게하여 진행할 수 있다.
본 단계 이후 텅스텐층(510)에 대해 패터닝 작업을 실시하여 배선으로 이용할 수도 있고, CMP로 상층의 텅스텐을 전부 제거한 상태에서 이미 형성된 글로브에 채워진 텅스텐층을 배선으로 이용하거나, 알루미늄 배선을 새롭게 형성하는 방법을 사용할 수 있다.
본 발명에 따르면, 기존의 텅스텐 플러그 형성 방법에서 경미한 변화를 통해서 큰 공정 부담 없이 텅스텐 플러그를 형성하면서 볼케이노 현상이 발생하는 것을 억제할 수 있다.

Claims (4)

  1. 반도체 장치에서의 텅스텐 콘택 형성 방법에 있어서, 절연막층에 콘택홀을 형성하는 단계, 상기 콘택홀 위로 티타늄층을 형성하는 단계, 상기 티타늄층 위로 티타늄 질화막을 형성하는 단계, 상기 티타늄 질화막 위로 CVD(Chemical Vapour Deposition) 방법으로 미세 텅스텐층은 50Å 이하의 두께로 적층하는 단계, 상기 미세 텅스텐층이 적층된 상태에서 열처리를 하는 단계, CVD 방법으로 텅스텐을 적층하여 상기 콘택홀을 채우는 단계를 구비하는 것을 특징으로 하는 반도체 장치의 텅스텐 콘택 형성 방법.
  2. 제 1 항에 있어서,
    상기 열처리 과정은 질소 분위기에서 적어도 일정 기간 750℃ 이상의 온도로 이루어지는 것을 특징으로 하는 반도체 장치의 텅스텐 콘택 형성 방법.
  3. 제 1 항에 있어서,
    상기 미세 텅스텐층은 20 내지 30Å 두께로 적층하는 것을 특징으로 하는 반도체 장치의 텅스텐 콘택 형성 방법.
  4. 제 1 항에 있어서,
    상기 티타늄층, 상기 티타늄 질화막층 및 상기 텅스텐층은 인시튜(in situ)로 이루어지는 것을 특징으로 하는 반도체 장치의 텅스텐 콘택 형성 방법.
KR1020000000495A 2000-01-06 2000-01-06 반도체 장치의 텅스텐 콘택 플러그 형성 방법 KR100330163B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020000000495A KR100330163B1 (ko) 2000-01-06 2000-01-06 반도체 장치의 텅스텐 콘택 플러그 형성 방법
US09/754,267 US6413853B2 (en) 2000-01-06 2001-01-05 Method of forming a tungsten plug in a semiconductor device
JP2001000738A JP4191900B2 (ja) 2000-01-06 2001-01-05 半導体装置のタングステンコンタクトプラグの形成方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020000000495A KR100330163B1 (ko) 2000-01-06 2000-01-06 반도체 장치의 텅스텐 콘택 플러그 형성 방법

Publications (2)

Publication Number Publication Date
KR20010068542A KR20010068542A (ko) 2001-07-23
KR100330163B1 true KR100330163B1 (ko) 2002-03-28

Family

ID=19636763

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000000495A KR100330163B1 (ko) 2000-01-06 2000-01-06 반도체 장치의 텅스텐 콘택 플러그 형성 방법

Country Status (3)

Country Link
US (1) US6413853B2 (ko)
JP (1) JP4191900B2 (ko)
KR (1) KR100330163B1 (ko)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6579788B1 (en) * 2000-09-18 2003-06-17 Advanced Micro Devices, Inc. Method of forming conductive interconnections on an integrated circuit device
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
KR100442964B1 (ko) * 2001-12-31 2004-08-04 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100555514B1 (ko) * 2003-08-22 2006-03-03 삼성전자주식회사 저 저항 텅스텐 배선을 갖는 반도체 메모리 소자 및 그제조방법
US7160802B2 (en) * 2005-06-06 2007-01-09 Novellus Systems, Inc. Adhesion of tungsten nitride films to a silicon surface
US20090026797A1 (en) * 2005-09-15 2009-01-29 Richard Wood Wake stabilization device and method for reducing the aerodynamic drag of ground vehicles
US7497502B2 (en) * 2006-06-19 2009-03-03 Solus Solutions And Technologies, Llc Mini skirt aerodynamic fairing device for reducing the aerodynamic drag of ground vehicles
KR100818711B1 (ko) * 2006-12-07 2008-04-01 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7741226B2 (en) * 2008-05-06 2010-06-22 International Business Machines Corporation Optimal tungsten through wafer via and process of fabricating same
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8664076B2 (en) * 2011-09-21 2014-03-04 Texas Instruments Incorporated Method of forming a robust, modular MIS (metal-insulator-semiconductor) capacitor with improved capacitance density
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US9969622B2 (en) * 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US9589808B2 (en) * 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
JP6416679B2 (ja) * 2015-03-27 2018-10-31 東京エレクトロン株式会社 タングステン膜の成膜方法
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US10347531B2 (en) 2017-02-22 2019-07-09 Globalfoundries Inc. Middle of the line (MOL) contact formation method and structure
KR20230127377A (ko) 2017-04-10 2023-08-31 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
KR20200032756A (ko) 2017-08-14 2020-03-26 램 리써치 코포레이션 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스
CN110137153B (zh) * 2018-02-09 2021-03-30 联华电子股份有限公司 半导体装置及其形成方法
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4994410A (en) * 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
DE4427657C2 (de) * 1994-08-05 1996-10-24 Deutsche Forsch Luft Raumfahrt Verfahren zur Bilderzeugung mittels einer zweidimensionalen Datenverarbeitung bei einem Radar mit synthetischer Apertur
US5552339A (en) * 1994-08-29 1996-09-03 Taiwan Semiconductor Manufacturing Company Furnace amorphous-SI cap layer to prevent tungsten volcano effect
TW310461B (ko) * 1995-11-10 1997-07-11 Matsushita Electric Ind Co Ltd
US5994775A (en) * 1997-09-17 1999-11-30 Lsi Logic Corporation Metal-filled via/contact opening with thin barrier layers in integrated circuit structure for fast response, and process for making same
KR100253385B1 (ko) * 1997-12-22 2000-05-01 김영환 반도체 소자의 배선형성 방법
US6180506B1 (en) * 1998-09-14 2001-01-30 International Business Machines Corporation Upper redundant layer for damascene metallization
US6207558B1 (en) * 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization

Also Published As

Publication number Publication date
JP4191900B2 (ja) 2008-12-03
JP2001223218A (ja) 2001-08-17
KR20010068542A (ko) 2001-07-23
US6413853B2 (en) 2002-07-02
US20010007797A1 (en) 2001-07-12

Similar Documents

Publication Publication Date Title
KR100330163B1 (ko) 반도체 장치의 텅스텐 콘택 플러그 형성 방법
TWI291742B (en) Reliability improvement of SiOC etch stop with trimethylsilane gas passivation in Cu damascene interconnects
US6284644B1 (en) IMD scheme by post-plasma treatment of FSG and TEOS oxide capping layer
US20020132472A1 (en) Method for forming metal plug
CN1921114A (zh) 半导体器件及其制造方法
KR100707656B1 (ko) 금속배선의 형성 방법 및 그에 의해 형성된 금속배선을포함하는 반도체 소자
JP2720796B2 (ja) 半導体装置の製造方法
US6888252B2 (en) Method of forming a conductive contact
KR20050056364A (ko) 반도체 장치의 절연막 형성 방법
US6239017B1 (en) Dual damascene CMP process with BPSG reflowed contact hole
TWI287258B (en) Method for fabricating semiconductor device
US7582560B2 (en) Method for fabricating semiconductor device
JP4457884B2 (ja) 半導体装置
US5930670A (en) Method of forming a tungsten plug of a semiconductor device
JP2000340769A (ja) キャパシタの電極構造
US20010016416A1 (en) Method for fabricating contact plug
KR100571385B1 (ko) 에프에스지 절연막을 사용하는 비아홀 또는 콘택홀을구비한 반도체 소자 및 그 제조 방법
KR100399978B1 (ko) 반도체소자의베리어금속층형성방법
KR100734640B1 (ko) 반도체 소자의 캐패시터 제조 방법
KR20010045429A (ko) 반도체 소자의 층간절연막 형성 방법
KR100575874B1 (ko) 비트라인 형성방법
KR100669553B1 (ko) 반도체 소자의 저장 전극 형성 방법
KR100545699B1 (ko) 반도체 소자의 캐패시터 콘택용 플러그 형성방법
KR20060006336A (ko) 반도체 소자의 금속배선 형성방법
KR100849773B1 (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090309

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee