CN113366144B - 金属膜的沉积 - Google Patents

金属膜的沉积 Download PDF

Info

Publication number
CN113366144B
CN113366144B CN202080011300.3A CN202080011300A CN113366144B CN 113366144 B CN113366144 B CN 113366144B CN 202080011300 A CN202080011300 A CN 202080011300A CN 113366144 B CN113366144 B CN 113366144B
Authority
CN
China
Prior art keywords
layer
metal
elemental
substrate
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202080011300.3A
Other languages
English (en)
Other versions
CN113366144A (zh
Inventor
约瑟亚·科林斯
格里芬·约翰·肯尼迪
汉娜·班诺克尔
帕特里克·范克利蒙布特
塞沙萨耶·瓦拉达拉简
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202310720841.9A priority Critical patent/CN116970925A/zh
Publication of CN113366144A publication Critical patent/CN113366144A/zh
Application granted granted Critical
Publication of CN113366144B publication Critical patent/CN113366144B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文提供用于逻辑及存储器应用的低电阻金属化堆叠结构及相关制造方法。在一些实施方案中,在沉积薄金属氮氧化物或金属氮化物成核层,之后进行纯金属导体的沉积。成核层为非晶形的,其使得大型纯金属膜晶粒生长模板化并降低电阻率。此外,以下描述的方法的一些实施方案将大部分或全部的金属氮氧化物成核层转化为纯金属层,从而进一步降低电阻率。

Description

金属膜的沉积
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
使用化学气相沉积(CVD)技术的钨(W)膜沉积是半导体制造工艺的不可或缺的部分。例如,钨膜可用作水平互连形式中的低电阻率电连接件,相邻金属层之间的通孔,以及第一金属层和硅衬底上的设备之间的触点。钨膜也可用在多种存储器应用中,包括用于动态随机存取存储器(DRAM)的掩埋字线(bWL)架构的形成、用于3D NAND的字线的形成、以及逻辑应用中。然而,特征尺寸和膜厚度的持续减小带来了各种挑战,这些挑战包括较薄的膜的较高的电阻率。诸如钼(Mo)之类的其他金属正受评估以作为W的低电阻率替代品。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
本公开的一个方面涉及一种沉积金属的方法。其包含:使用第一原子层沉积(ALD)处理由金属氧氯化物前体和氨沉积第一层。其还包含:使用第二ALD处理由金属氧氯化物前体和氢在所述第一层上沉积元素金属层。
实现方案可以包含下列特征中的一个或多个。在该方法中,所述第一层为金属氮氧化物或金属氮化物层。在该方法中,所述第一层在所述第二ALD处理期间或之前被转化为元素金属层。在该方法中,经转化的所述元素金属层含有少于1(原子)%的杂质。在该方法中,所述第一层是非晶层。在该方法中,所述元素金属层是结晶的。在该方法中,所述第一和第二ALD处理是在相同的室中进行且不暴露于空气。在该方法中,所述第一层是所述第二层中金属晶粒生长的模板。在该方法中,所述元素层含有少于1(原子)%的杂质。在该方法中,所述元素金属层为元素钨。在该方法中,所述元素金属层为元素钼。在该方法中,所述第一层为氮氧化钼和氮化钼中的一者。在该方法中,所述第一ALD处理是在低于400℃的温度下进行。在该方法中,所述第二ALD处理是在大于400℃的温度下进行。在该方法中,所述第一层的沉积和所述元素层的沉积在相同室中进行。在该方法中,所述第一层的沉积和所述元素层的沉积是在相同室的不同站中进行。在该方法中,所述第一层的沉积是在第一室中进行,而所述元素层的沉积是在第二室中进行。所述方法还包含:在沉积所述元素层之前,使所述第一层暴露于空气。
本公开的另一方面涉及一种装置,其包含:第一与第二处理室,其各自被配置成容纳衬底;衬底支撑件,其位于所述处理室中的每一者中;气体入口,其被配置成将气体导入所述处理室中的每一者中;加热器,其被配置成将各个处理室中的所述衬底支撑件加热;以及控制器,其包含程序指令。所述装置还包括:在衬底被容纳于所述第一处理室中时,按顺序使金属氧氯化物前体和氨进入所述第一处理室。所述装置还包括:在(a)之后,将所述衬底转移至所述第二处理室。所述装置还包括:在(b)之后,在所述衬底被容纳于所述第二处理室中时,按顺序使金属氧氯化物前体和氢进入所述第二处理室。
本公开的另一方面涉及一种装置,其包含:处理室,其具有各自被配置成容纳衬底的一或更多个站;衬底支撑件,其位于所述一或多个站中的每一者中;气体入口,其被配置成将气体导入所述一或多个站中的每一者中;加热器,其被配置成将每个站中的所述衬底支撑件加热;以及控制器,其包含用于下列操作的程序指令:按顺序使金属氧氯化物前体和氨进入所述一或多个站中的每一者中;以及按顺序使金属氧氯化物前体和氢进入所述一或多个站中的每一者中。
以下参照附图而更完整地说明这些以及其他方面。
附图说明
图1A及1B为材料堆叠件的示意性示例,这些材料堆叠件包含成核层作为金属生长的模板。
根据各种实施方案,图2、3A、及3B提供了可采用材料堆叠件的结构的示例。
根据各种实施方案,图4为工艺流程图,其显示了沉积导电材料的方法中的操作。
图5显示了使用氨还原剂及氧氯化钼前体在含Mo层的介电表面上的原子层沉积生长速率。
图6显示了与直接在介电表面上由氢以及氧氯化钼进行的钼生长相比,如本发明所述的在沉积于介电表面上的成核层上由氢及氧氯化钼进行的钼生长。
图7为一图表,其显示了与沉积在氮化钛上的钼膜相比,如本文所述沉积的钼膜的电阻率,。
图8是适用于根据本文所述的实施方案进行沉积工艺的处理系统的框图。
具体实施方式
在以下的描述中,阐述了许多具体细节以提供对所呈现的实施方案的充分理解。所公开的实施方案可以在没有这些具体细节中的一些或全部的情况下实施。在其他实例中,未详细描述公知的处理操作,以避免不必要地使所公开的实施方案模糊不清。虽然将结合具体实施方案描述所公开的实施方案,但应当理解的是其并不旨在限制所公开的实施方案。
本文提供了用于逻辑及存储器应用的低电阻金属化堆叠结构及相关制造方法。在一些实施方案中,沉积薄金属氮氧化物或金属氮化物成核层,之后进行纯金属导体的沉积。成核层为非晶形的,其使得大型纯金属膜晶粒的生长模板化并降低电阻率。此外,以下描述的方法的一些实施方案将大部分或全部的金属氮氧化物成核层转化为纯金属层,从而进一步使电阻率降低。
根据各种实施方案,可实现一或更多优点。在一些实施方案中,可进行诸如Mo之类的金属在氧化铝及其他电介质上的直接沉积。在一些实施方案中,这些沉积可在低于500℃或甚至低于400℃的温度下进行,而不会有明显的成核迟延。在低温下进行沉积可导致较佳的阶梯覆盖率。在各种实施方案中,较低电阻率的膜可以从大型晶粒尺寸的膜获得和/或因为膜可在无高电阻率膜(例如氮化钛(TiN))的情况下直接沉积于电介质上而获得。加入低温金属氮化物成核层可促成在低于600℃或甚至低于500℃的温度下进行后续的纯金属沉积。这可使金属(氮化物)+纯金属成核堆叠件适合于具有用于晶片处理的低于600℃或500℃的热预算的半导体应用。这促成在无高电阻率层(如TiN)的情况下且在纯膜无法达到的温度下进行的金属沉积;例如,当直接沉积于电介质上时,用于纯Mo ALD的温度可超过600℃。
图1A及1B为材料堆叠件的示意性示例,这些材料堆叠件包含成核层作为金属生长的模板。图1A及1B显示了特定堆叠件中的材料的顺序,且可以与任何适当架构及应用一起使用,如以下针对图2、3A、及3B而进一步描述的。在图1A的示例中,衬底102具有沉积于其上的成核层108。衬底102可以是硅或其他半导体晶片(例如200-mm晶片、300-mm晶片、450-mm晶片),包括具有一或更多层的材料(例如电介质、导电、或半导电材料)沉积于其上的晶片。也可应用这些方法以在其他衬底(例如玻璃、塑料等)上形成金属化堆叠结构。
在图1A中,介电层104位于衬底102上。可将介电层104直接沉积在衬底102的半导体(例如硅)表面上,或者可存在任何数目的中介层。介电层的示例包含经掺杂及未掺杂的氧化硅、氮化硅、以及氧化铝层,其中特定示例包含经掺杂或未掺杂的层SiO2及Al2O3。并且,在图1B中,扩散阻挡层106被设置于成核层108与介电层104之间。扩散阻挡层的示例包含氮化钛(TiN)、钛/氮化钛(Ti/TiN)、氮化钨(WN)、以及碳氮化钨(WCN)。金属层110被沉积在成核层108上,且为结构的主导体(也称为主体导体或主体层),且成核层108提供金属生长的模板。
如以下进一步描述的,使成核层108沉积为非晶膜。通过使用具有大型晶粒的非晶膜作为金属生长的模板,可形成具有大型晶粒以及低电阻率的金属。金属层的示例包含钨(W)及钼(Mo)层。
图1A显示了材料堆叠件的另一示例。在该示例中,堆叠件包含衬底102、介电层104、且成核层108直接沉积于介电层104上,而没有中间扩散阻挡层。如同图1A的示例,金属层110被沉积在成核层108上,且为结构的主导体。
在图1A和1B的示例中,可使成核层108沉积为金属氮氧化物层,例如氮氧化钨或氮氧化钼层。然而,在后续处理期间,在一些实施方案中,可将所有或大部分的成核层108转化为纯金属。因此,根据各种实施方案,成核层108可具有或可不具有与纯金属层110相同的组成。成核层108的特征可在于非晶特性,且纯金属层110特征在于其大型晶粒尺寸。
在一些实施方案中,金属氮氧化物层的金属与纯金属导体的金属相同,例如在沉积钼层之前可沉积氮氧化钼层作为成核层、或在沉积钨层之前可沉积氮氧化钨层作为成核层。在其他实施方案中,金属氮氧化物层可具有与纯导体的金属不同的金属,例如可在含钼成核层上沉积钨层、或可在含钨成核层上沉积钼层。
虽然图1A及1B显示了金属化堆叠件的示例,但方法与所得堆叠件并非如此受限。例如,在一些实施方案中,可将成核层直接沉积在Si或其他半导体衬底上以作为金属生长的模板。此外,虽然以上描述了钨(W)或钼(Mo)在成核层上的生长,但成核层可用作其他金属钴(Co)、钌(Ru)、镍(Ni)、以及包含这些金属的合金(如MoW)的低电阻率生长的模板。另外,成核层可以是任何适当的金属氮氧化物或金属氮化物层,其包括氮氧化钼、氮化钼、氮氧化钨、氮化钨、氮化镍等。
可以各种结构实施上述及下文进一步描述的材料堆叠件。图2、3A以及3B提供可采用堆叠件的结构的示例。图2描绘了DRAM结构的示意性示例,该DRAM结构包含位于硅衬底202中的埋入式字符线(bWL)210。bWL210是在蚀刻于硅衬底202中的沟槽中形成。保形成核层208及绝缘层204作为该沟槽的衬里,绝缘层204被设置于保形成核层208与硅衬底202之间。在图2的示例中,绝缘层204可以是由高k介电材料(例如氧化硅或氮化硅材料)所形成的栅极氧化物层。在一些实施方案中,可将保形阻挡层(例如TiN或含钨层)插入成核层208与绝缘层204之间。
图3A描绘了3D NAND结构323中的字符线310的示意性示例。字符线310由氧化物层311分隔开。在图3B中,显示出字符线310与氧化物层311之间的接口的细节,其包含氧化铝(Al2O3)304以及成核层308。在一些实施方案中,可将成核层308直接沉积于氧化物层311上、或TiN或本文所述的其他阻挡层上。成核层可例如介于约
Figure GDA0004155904230000061
至/>
Figure GDA0004155904230000062
之间、或/>
Figure GDA0004155904230000063
Figure GDA0004155904230000064
之间,以用于沉积厚度介于约10nm至100nm之间的字符线310。
图4为工艺流程图,其显示出沉积导电材料的方法中的操作。在操作402中,通过原子层沉积(ALD)在结构上形成保形成核层。在ALD方法中,衬底可在循环中暴露,以使衬底首先暴露于合适的含金属前体的脉冲,接着选择性地将前体清扫掉,接着使衬底暴露于还原剂的脉冲,并且接着选择性地将还原剂清扫掉,可重复进行这种循环直到在衬底上形成期望厚度的成核层为止。应理解,可使前体与还原剂的顺序颠倒,使得该序列可起始于还原剂投配、然后进行含金属前体投配。
在一些实施方案中,还原剂为氨(NH3)或其他含氮还原剂如联胺(N2H4)。NH3在电介质上的化学吸附比氢(H2)的化学吸附更为有利。在一些实施方案中,选择还原剂及前体以使其进行反应而不会发生还原剂离解。NH3与金属氧氯化物及金属氯化物进行反应而不会离解。这与例如使用H2作为还原剂的金属氧氯化物的ALD形成对比;H2在表面上离解而形成吸附的原子氢,其造成在介电表面上金属的初始成核期间非常低的反应物质浓度及低表面覆盖率。通过使用NH3及金属氧氯化物或金属氯化物前体,使得成核迟延现象在以下沉积温度下减少或消除:比相同金属前体的H2还原所使用的沉积温度低多达数百度的沉积温度。
在一些实施方案中,还原剂可以是含硼或含硅还原剂,例如乙硼烷(B2H6)或SiH4。这些还原剂可以与金属氯化物前体一起使用;然而,使用金属氧氯化物的情况下,B2H6及SiH4会与在ALD处理期间形成为副产物的水进行反应并形成固体B2O3及SiO2,其为绝缘的且会保留在膜中,使得电阻率提高。与B2H6及SiH4的ALD处理相比,使用NH3还在某些表面(包括Al2O3)上具有改善的附着力。
金属氧氯化物及金属氯化物前体的示例包含五氯化钼(MOCl5)、氧氯化钼(例如二氯二氧化钼(MOO2Cl2)及四氯氧化钼(MOOCl4))、五氯化钨(WCl5)、六氯化钨(WCl6)、四氯化钨(WCl4)、二氯化钨(WCl2)、以及氧氯化钨(WOxCly)(例如四氯氧化钨(WOCl4))。
金属氯化物及金属氧氯化物可用于氟掺入现象受关注的实施方案中。然而,在一些实施方案中,可使用含氟前体。这些含氟前体包含金属氟化物,例如六氟化钨(WF6)、六氟化钼(MOF6)、以及五氟化钼(MoF5)。
所得到的成核层通常并非纯元素膜,而是金属氮化物或金属氮氧化物膜。在一些实施方案中,可能存在来自沉积处理的残留的氯或氟,特别是如果沉积处理是在低温下进行的话。在一些实施方案中,不会存在多于痕量(trace amount)的残留氯或氟。在一些实施方案中,成核层为非晶层。膜中的杂质(例如氧、NH3、氯、或其他卤素)有助于非晶微结构的生长。在一些实施方案中,所沉积的成核层为非晶金属氮氧化物层或非晶金属氮化物层。非晶特性使得后续沉积的导体中的大型晶粒生长模板化。氮化物或氮氧化物相对于氧化物表面的表面能量远比氧化物表面上的金属的表面能量更为有利,其有利于在电介质上形成连续且平滑的膜。这能够形成薄且连续的层。所沉积的成核层的示例性厚度是在
Figure GDA0004155904230000071
的范围内。取决于温度,这可以是例如约5-50个ALD循环。
如下所述,在后续处理期间,可使成核层转化为纯(或较少杂质)的元素金属膜,且厚度减小。
用于成核层沉积的衬底温度可在例如300℃-600℃的范围内。在一些实施方案中,可使用低温。这种温度可低于500℃、低于550℃、低于450℃、低于400℃、或低于350℃。低温可用于改善阶梯覆盖率。此外,低温可使成核层中的杂质量增加,从而使得非晶特性增加,其进而可使后续沉积的导体的晶粒尺寸增加。
成核层沉积于其上的表面取决于特定应用。在一些实施方案中,将成核层直接沉积于电介质(例如氧化硅、氧化铝、氮化硅等)表面上。在一些实施方案中,将成核层直接沉积于氮化钛或其他表面上。如以下进一步讨论的,通过执行操作402,可以在任何表面上进行后续的元素金属沉积。
在沉积成核层之后,可执行选择性的操作404。在操作404中,进行较低温度的金属导体与还原剂的ALD循环。“较低”温度是指操作404(如果执行)中的温度低于后续操作406。示例性温度可低于500℃、低于550℃、低于450℃、低于400℃、或低于350℃。在该操作中,还原剂与操作402中的还原剂不同,且在特定示例中可以是氢(H2)。尤其是,H2可使得元素膜的沉积具有比成核层中明显更少的杂质。在一些实施方案中,温度可以与操作402中所使用的温度相同。金属前体还可以与操作402中所用的前体相同或不同。在一些实施方案中,使用相同的前体,而仅改变还原剂。在一些实施方案中,操作404可以促进金属氮化物或金属氮氧化物成核层转化为元素金属膜。根据许多实施方案,操作404可以沉积或不沉积大量的主导体膜。
在进一步的选择性操作406中,使衬底温度升高。在执行操作404的实施方案中,还执行操作406。在其他实施方案中,可执行操作406。例如,如果成核层沉积是在相对低温(例如低于400℃)下进行,则在操作406中可将温度升高至较高温度,主导体的沉积将在该较高温度下进行。在一些实施方案中,该温度可大于500℃,而在一些实施方案中可大于600℃。在一些实施方案中,可使用较低温度(例如介于400℃至500℃之间(包含端点))以进行主体沉积。温度可升高或不升高,具体取决于先前操作的温度。
接着,该方法可(从操作402、404、或406中的任一者)继续进行至操作408,在操作408中通过ALD以沉积主导体。如同操作404(如果执行),可使用H2作为还原剂。
可以在操作404及408中使用的金属氧氯化物及金属氯化物前体的示例包含五氯化钼(MOCl5)及六氯化钨(WCl6)、氧氯化钼(例如二氯二氧化钼(MOO2Cl2)及四氯氧化钼(MOOCl4))、五氯化钨(WCl5)、六氯化钨(WCl6)、四氯化钨(WCl4)、二氯化钨(WCl2)、及氧氯化钨(WOxCly)(例如四氯氧化钨(WOCl4))。
在操作404-408中的一或多者期间,成核层被转化为元素金属层。这也可表征为移除杂质(即,任何非金属组分)。成核层可能具有比后续沉积的元素层更多的杂质,但其被充分地去除,使得堆叠件的电阻率与不包含成核层的堆叠件相同或相似。厚度也会减小;例如,
Figure GDA0004155904230000092
的沉积膜可以为堆叠件提供约/>
Figure GDA0004155904230000091
的金属。
根据各种实施方案,可采用以下方式中的一或多者以促进成核层转化为元素金属膜:1)在比沉积成核层更高的温度(例如550℃)下沉积主体导体,2)如以上参照操作404所述地执行较低温度的ALD H2/金属前体循环,以及3)原位沉积主体层,以使成核层在主体沉积之前不暴露于空气或被氧化。氧氯化钼及氧氯化钨尤其相对容易地转化为元素金属。所得到的经转化成核层及纯金属层可各自表征为具有少于1%的原子杂质。
图5显示了使用作为还原剂的NH3及氧氯化钼前体形成成核层以形成含Mo层、并接着如上述地将含Mo层转化为Mo的结果。图5显示了转化为Mo之后的成核层厚度,其被显示为ALD循环的函数。在各个温度下(350℃-低、400℃-中、450℃-高),在三种不同表面上进行沉积:Al2O3表面、TiN表面、以及热SiO2表面。在各个温度下,所有表面的生长速率都是相同的。因此,虽然生长速率对温度是敏感的,但对衬底表面不敏感。应注意,这不同于可能与衬底高度相关的其他沉积系统。例如,H2及氧氯化钼的ALD沉积会沉积于TiN上、少量沉积于SiO2上、而几乎不沉积于Al2O3上。
图6显示:在550℃下,与直接在Al2O3表面上由H2及氧氯化钼的ALD循环进行的Mo生长相比,如上所述在沉积于Al2O3表面上的成核层上由H2及氧氯化钼的ALD循环进行后续的Mo生长。曲线A显示了在由NH3/氧氯化钼所沉积的约
Figure GDA0004155904230000093
的成核层上的Mo生长,而曲线B显示在Al2O3上的Mo生长。可以看出,由H2还原Mo前体的ALD沉积在由NH3还原Mo前体所沉积的Mo成核层上呈现稳定的生长,而无成核迟延现象。相比之下,H2/Mo前体的ALD循环不会在Al2O3上沉积。
图7显示了各种Mo膜的电阻率。曲线A表示在低温NH3/氧氯化钼成核层上的H2/氧氯化钼ALD膜的电阻率,曲线B表示在高温NH3/氧氯化钼成核层上的H2/氧氯化钼ALD膜的电阻率,而曲线C表示在TiN上的H2/氧氯化钼ALD膜的电阻率。使用本文所述的成核层并未发现使覆盖电阻率(blanket resistivity)增加,这表示其被转化为元素Mo膜。
虽然以上说明涉及金属氧氯化物及金属氯化物前体,但是也可以使用其他含卤素前体(包括金属氧氟化物及金属氟化物前体)来执行这些方法。
在一些实施方案中,这些方法可以涉及:在沉积金属氮氧化物或金属氮化物成核层,之后通过化学气相沉积(CVD)来沉积纯金属层。
装置
任何合适的室均可用于实施所公开的实施方案。示例性沉积装置包括多种系统,例如
Figure GDA0004155904230000101
和/>
Figure GDA0004155904230000102
Max,其可从加州弗里蒙特的Lam Research Corp.获得,或多种其他市售的处理系统中的任何一种。所述工艺可以在多个沉积站并行进行。
在一些实施方案中,成核层沉积处理在第一站进行,该第一站为位于单一沉积室内的两个、五个、或甚至更多个沉积站中的一者。例如,成核层沉积可以在第一站进行,然后在第二站进行金属前体的低温氢还原,然后在第三站进行金属前体的高温氢还原。各个站可具有独立的温度控制。在一些实施方案中,在沉积室的两个不同站进行该处理的各个步骤。例如,可以利用在衬底表面处建立局部气体环境的单独气体供应系统,以在第一站使衬底暴露于NH3,接着该衬底可被传送至第二站以暴露于金属氯化物、金属氟化物、或金属氧氯化物前体,以沉积成核层。在一些实施方案中,接着可将该衬底传送回到第一站以进行NH3的第二次暴露。接着,可将该衬底传送至第二站以进行对金属前体的暴露。在第一次金属氯化物或金属氧氯化物沉积之后,还可在另一站使该衬底暴露于NH3。可根据需要而重复进行此操作以完成成核层沉积,并在相同或不同站中继续进行主体层沉积。
在一些实施方案中,使用多个室以执行本文所述方法。例如,成核层的沉积可以在第一室中进行,而主体金属层的沉积是在第二室中进行。可使该两个室连接至共同的真空室,以使衬底可在它们之间转移而不会暴露。在替代实施方案中,不使这些室在真空下连接,且衬底在转移期间暴露于空气。可以在后续处理中减少任何的氧化作用,如上所述。
图8为适合执行根据本文所述实施方案的沉积处理的处理系统的框图。系统800包含传送模块803。该传送模块803提供干净的加压环境,以在被处理的衬底在各种不同的反应器模块之间移动时,使被处理的衬底的污染的风险最小化。多站反应器809被安装在传送模块803上,多站反应器809能够执行如本文所述的ALD沉积。室809可包含多个工作站811、813、815、以及817,其可依顺序执行这些操作。例如:室809可以配置成使得工作站811及813执行成核层沉积,而工作站815及817执行主体层沉积。每个沉积站可包含经加热的晶片基座及喷头、分散板或其他进气口。
在传送模块803上还可以安装一或多个单站或多站的模块807,其能够执行等离子体或化学(非等离子体)的预清洁。该模块还可以用于各种其他处理,例如还原剂浸泡。系统800还包含一或多个(在该示例中为两个)晶片源模块801,在处理之前和之后晶片储存于其中。大气传送室819中的大气机械手(未图示)首先将晶片从源模块801移动至装载锁821。传送模块1103内的晶片传送装置(通常为机械手臂单元)将晶片从装载锁821移动至安装于传送模块1103上的模块并且在模块之间移动。
在一些实施方案中,使用高温喷头。这使得能使用单一(而非双重)充气部喷头。通过使喷头内部湿润表面维持在大于150℃或200℃,可在单一充气部喷头中使用NH3及金属氧氯化物或金属氯化物前体,而不会发生NH4Cl冷凝。或者可使用双充气部喷头,其中NH3是通过一个充气部进行输送,而金属氯化物或氧氯化物前体可通过另一充气部进行输送。
如上所述,在单一处理室中同时沉积金属(氮化物)成核及纯金属有助于通过与H2、金属(氧氯化物)、及其副产物(HCl、OClX、金属-Clx、...)的高温反应将所沉积的金属+Ox+NHX+Clx成核膜转化为纯金属。该操作可在多站反应器中完成,其中在第一沉积站使用低温,而在后续沉积站使用高温,如上所述。在一些实施方案中,多站沉积反应器中的个别沉积站可通过以下方式彼此隔离:设置喷头及基座的形状,以使得在处于底座上升处理位置时,该两个组件产生晶片上方的小处理容积及非常狭窄的间隙,以使处理容积与主室相隔离。利用惰性气体清扫屏障以使气体难以从主室扩散至处理容积中,可扩增处理容积的边缘处的狭窄间隙。处理容积的边缘处的狭窄间隙也可以结合局部泵抽充气部,以防止处理气体进入主室。这可以消除在主室中沉积或粒子产生的风险。狭窄边缘间隙本身可消除来自主室的气体扩散回晶片处理容积中的风险,因此不存在站与站的串扰(crosstalk)。
如上所述,在一些实施方案中,系统包含两个不同的沉积室。例如,参照图8,可将两个沉积室装安装于传送模块803上。在这样的实施方案中,各个沉积室可以是单站或多站室。另外,可使用不在共同真空下的两个沉积室。
在某些实施方案中,采用系统控制器829以控制沉积过程中的工艺条件。所述控制器将通常包括一个或多个存储器器件和一个或多个处理器。所述处理器可包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。
所述控制器可控制所有沉积装置的活动。所述系统控制器运行系统控制软件,所述系统控制软件包括用于控制定时、气体混合物、室压强、室温度、晶片温度、射频(RF)功率水平(如果使用)、晶片卡盘或基座位置和特定工艺的其他参数的指令集。在一些实施方案中,可以使用存储在与控制器相关的存储器器件上的其他计算机程序。
通常,将有与控制器相关联的用户界面。用户界面可包括显示屏、所述装置和/或工艺条件的图形软件显示器和用户输入装置,例如定点装置、键盘、触摸屏、麦克风等。
系统控制逻辑可以任何合适的方式进行配置。一般情况下,所述逻辑可被设计或配置在硬件和/或软件中。用于控制驱动电路的指令可被硬编码或作为软件提供。所述指令可通过“编程”提供。这样的编程被理解为包括任何形式的逻辑,该逻辑包括数字信号处理器、应用型专用集成电路以及具有作为硬件实施的具体算法的其他装置中的硬编码逻辑。编程也被理解为包括可在通用处理器上执行的软件或固件指令。系统控制软件可以以任何合适的计算机可读编程语言编码。或者,所述控制逻辑可在控制器中硬编码。专用集成电路、可编程逻辑装置(例如,现场可编程门阵列或FPGA)等可用于这些目的。在下面的讨论中,不论使用“软件”或“代码”,功能上相当的硬编码逻辑可用在其位置中。
用于控制工艺序列中的沉积和其他工艺的计算机程序代码可以任何常规的计算机可读编程语言写入:例如,汇编语言、C、C++、Pascal、Fortran或其它。由处理器执行编译后的目标代码或脚本以进行程序中识别的任务。
控制器参数涉及工艺条件,例如工艺气体组成和流速、温度、压力、冷却气体压力和室壁温度。这些参数以配方的形式提供给用户,并且可利用用户界面输入。
用于监控工艺的信号可以通过系统控制器的模拟和/或数字输入连接来提供。用于控制工艺的信号在沉积装置的模拟和数字输出连接件上输出。
所述系统软件可以许多不同的方式进行设计或配置。例如,可以写入多个室组件子程序或控制目标以控制进行本文中所述的沉积工艺所需要的室组件的操作。用于此目的的程序或程序的部分的示例包括衬底定位代码、工艺气体控制代码、压力控制代码、和加热器控制代码。
在一些实施方案中,控制器829是系统的部分,该系统可以是上述实施例的部分。这种系统可包括半导体处理设备,半导体处理设备包括一或多个处理工具、一或多个室、用于处理的一或多个平台、和/或具体处理部件(晶片基架、气体流系统等)。这些系统可与用于在半导体晶片或衬底的处理之前、之中以及之后控制它们的操作的电子器件集成。电子器件可指“控制器”,控制器可控制一或多个系统的各种部件或子部。根据处理要求和/或系统类型,控制器829可被编程以控制此处所公开的任何工艺,包括在一些系统中的工艺气体的输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、定位和操作设置、进出工具和其他传送工具和/或连接到或与具体系统交接的装载锁的晶片传送。
广义地说,控制器可被定义为接收指令、发布指令、控制操作、实现清洁操作、实现端点测量等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、限定为专用集成电路(ASIC)的芯片、和/或一或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种个体设置(或程序文件)的形式与控制器通信、定义用于在半导体晶片上或为半导体晶片或者对系统执行特定工艺的操作参数的指令。在一些实施方案中,操作参数可以是配方的部分,配方由工艺工程师定义以在晶片的一或多个层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯的制造过程中完成一或多个处理步骤。
在一些实现方式中,控制器829可以是计算机的部分或耦合到计算机,计算机与该系统集成或耦合到该系统、以其他方式网络连接到该系统、或者它们的组合。例如,控制器829可在“云”中或者是晶片厂(fab)主机计算机系统的整体或组成部分,可允许晶片处理的远程访问。计算机可实现对该系统的远程访问以监控制造操作的当前进程、检查过去的制造操作的历史、检查来自多个制造操作的趋势或性能指标,以改变当前工艺的参数,以设置处理步骤从而跟随当前工艺,或者以开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可通过网络提供工艺配方给系统,网络可包括局域网或互联网。远程计算机可包括实现参数和/或设置的输入或编程的用户界面,参数和/或设置接着从远程计算机被传送给该系统。在一些实施例中,控制器接收数据形式的指令,所述数据指明要在一或多个操作期间执行的处理步骤中的每一个步骤的参数。应当理解,所述参数针对待执行的工艺的类型和工具的类型可以是特定的,控制器被配置为与所述工具交接或控制所述工具。因此,如前所述,控制器可以是分布式的,比如通过包括被网络连接在一起且为共同目的(比如本文所述的工艺和控制)工作的一或多个分立控制器。为这种目的的分布式控制器的示例可以是在与位于远程的(比如在平台层面或者作为远程计算机的组成部分)一或多个集成电路通信的室上的一或多个集成电路,其结合来控制该室上的工艺。
示例系统可包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角蚀刻室或模块、物理气相沉积(PVD)室或模块、CVD室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、跟踪室或模块、以及可与半导体晶片的制造和/或生产相关联或者在半导体晶片的制造和/或生产中使用的任何其他半导体处理系统。
如前所述,根据待由工具执行的一或多个工艺步骤,控制器可与其他工具电路或模块、其他工具部件、簇工具、其他工具接口、相邻工具、邻近工具、纵贯工厂、主机、另一控制器分布的工具、或者在带着晶片容器往来于半导体制造工厂中的工具位置和/或装载端口的材料运输中使用的工具中的一或多个通信。
控制器829可包括多种程序。衬底定位程序可包括用于控制室组件的程序代码,所述室组件用于将衬底加载到基座或卡盘上并控制衬底和室的其他部件例如气体入口和/或靶之间的间隔。工艺气体控制程序可包括用于控制气体组成和流速以及任选地用于在沉积之前使气体流入室以稳定室中的压力的代码。压力控制程序可包括用于通过调节例如室中的排气系统中的节流阀而控制室中的压力的代码。加热器控制程序可包括用于控制用于加热衬底的加热单元的电流的代码。或者,所述加热器控制程序可控制传热气体例如氦气向晶片卡盘的输送。
可在沉积过程中被监控的室传感器的示例包括质量流量控制器、压力传感器例如压力计和位于基座或卡盘中的热电偶。经适当编程的反馈和控制算法可与来自这些传感器的数据一起用于维持所需的工艺条件。
上述内容描述了在单室或多室半导体加工工具中实施的本发明的实施方案。
上述内容描述了在单室或多室半导体加工工具中实施的本发明的实施方案。本文描述的装置和工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/过程将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件(即,衬底)上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
在以上描述和权利要求中,数值范围包括该范围的端点。例如,“1nm至5nm之间的厚度”包括1nm至5nm。类似地,由短划线表示的范围包括范围的端点。
结论
虽然上述实施方案已经在一些细节为了清楚理解的目的进行了描述,但将显而易见的是,某些变化和修改可在所附权利要求的范围内实施。应当注意,有许多实现本发明的实施方案的工艺、系统、和装置的许多替代方式。因此,本发明的实施方案应被认为是说明性的而不是限制性的,并且这些实施方案并不被限定于这里给出的细节。

Claims (19)

1.一种方法,其包含:
使用第一ALD处理由金属氧氯化物前体和氨沉积第一层,其中所述第一层为金属氧氮化物或金属氮化物层;以及
使用第二ALD处理由金属氧氯化物前体和氢在所述第一层上沉积元素金属层,以及还包含:在所述第二ALD处理期间或之前将所述第一层转化为元素金属层。
2.根据权利要求1所述的方法,其中经转化的所述元素金属层含有少于1%的原子杂质。
3.根据权利要求1所述的方法,其中所述第一层是非晶层。
4.根据权利要求3所述的方法,其中所述元素金属层是结晶的。
5.根据权利要求1所述的方法,其中所述第一ALD处理和第二ALD处理是在相同的室中进行且不暴露于空气。
6.根据权利要求1所述的方法,其中所述第一层是所述元素金属层中金属晶粒生长的模板。
7.根据权利要求1所述的方法,其中所述元素金属层含有少于1%的原子杂质。
8.根据权利要求1所述的方法,其中所述元素金属层为元素钨。
9.根据权利要求1所述的方法,其中所述元素金属层为元素钼。
10.根据权利要求1所述的方法,其中所述第一层为氮氧化钼和氮化钼中的一者。
11.根据权利要求1所述的方法,其中所述第一ALD处理是在低于400℃的温度下进行。
12.根据权利要求11所述的方法,其中所述第二ALD处理是在大于400℃的温度下进行。
13.根据权利要求1所述的方法,其中所述第一层的沉积和所述元素金属层的沉积在相同室中进行。
14.根据权利要求13所述的方法,其中所述第一层的沉积和所述元素金属层的沉积是在相同室的不同站中进行。
15.根据权利要求1所述的方法,其中所述第一层的沉积是在第一室中进行,而所述元素金属层的沉积是在第二室中进行。
16.根据权利要求1所述的方法,其还包含:在沉积所述元素金属层之前,使所述第一层暴露于空气。
17.根据权利要求1所述的方法,其中所述元素金属层为元素钼,所述第一层为氮氧化钼和氮化钼中的一者,并且所述第一层被转化为元素钼。
18.一种装置,其包含:
第一处理室和第二处理室,其各自被配置成容纳衬底;
衬底支撑件,其位于所述处理室中的每一者中;
气体入口,其被配置成将气体导入所述处理室中的每一者中;
加热器,其被配置成将各个处理室中的所述衬底支撑件加热;以及
控制器,其包含用于下列操作的程序指令:
(a)在衬底被容纳于所述第一处理室中时,按顺序使金属氧氯化物前体和氨进入所述第一处理室以在衬底上沉积金属氮化物或金属氮氧化物层;
(b)在(a)之后,将所述衬底转移至所述第二处理室;以及
(c)在(b)之后,在所述衬底被容纳于所述第二处理室中时,按顺序使金属氧氯化物前体和氢进入所述第二处理室以将所述金属氮化物或金属氮氧化物层转化为第一元素金属层并在所述第一元素金属层上沉积第二元素金属层。
19.一种装置,其包含:
处理室,其具有各自被配置成容纳衬底的一或更多个站;
衬底支撑件,其位于所述一或更多个站中的每一者中;
气体入口,其被配置成将气体导入所述一或多个站中的每一者中;
加热器,其被配置成将每个站中的所述衬底支撑件加热;以及
控制器,其包含用于下列操作的程序指令:
按顺序使金属氧氯化物前体和氨进入所述一或多个站中的每一者中以在衬底上沉积金属氮化物或金属氮氧化物层;以及
按顺序使金属氧氯化物前体和氢进入所述一或多个站中的每一者中以将所述金属氮化物或金属氮氧化物层转化为第一元素金属层并在所述第一元素金属层上沉积第二元素金属层。
CN202080011300.3A 2019-01-28 2020-01-27 金属膜的沉积 Active CN113366144B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310720841.9A CN116970925A (zh) 2019-01-28 2020-01-27 金属膜的沉积

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962797860P 2019-01-28 2019-01-28
US62/797,860 2019-01-28
PCT/US2020/015241 WO2020159882A1 (en) 2019-01-28 2020-01-27 Deposition of metal films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310720841.9A Division CN116970925A (zh) 2019-01-28 2020-01-27 金属膜的沉积

Publications (2)

Publication Number Publication Date
CN113366144A CN113366144A (zh) 2021-09-07
CN113366144B true CN113366144B (zh) 2023-07-07

Family

ID=71840093

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202080011300.3A Active CN113366144B (zh) 2019-01-28 2020-01-27 金属膜的沉积
CN202310720841.9A Pending CN116970925A (zh) 2019-01-28 2020-01-27 金属膜的沉积

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202310720841.9A Pending CN116970925A (zh) 2019-01-28 2020-01-27 金属膜的沉积

Country Status (7)

Country Link
US (2) US11970776B2 (zh)
JP (2) JP2022523689A (zh)
KR (2) KR20210110886A (zh)
CN (2) CN113366144B (zh)
SG (1) SG11202108217UA (zh)
TW (1) TW202039911A (zh)
WO (1) WO2020159882A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021523292A (ja) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
CN115261821A (zh) * 2022-08-08 2022-11-01 中国科学院上海高等研究院 一种氢化ald薄膜的方法

Family Cites Families (249)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1012671A (en) 1911-05-16 1911-12-26 Tailors Accessories Co Cleaning-machine.
JPS61224313A (ja) 1985-03-29 1986-10-06 Hitachi Ltd 気相薄膜成長方法
JPH02231714A (ja) 1989-03-03 1990-09-13 Toshiba Corp 半導体装置の製造方法
JP2829143B2 (ja) 1991-03-25 1998-11-25 シャープ株式会社 半導体装置の製造方法
JP2536377B2 (ja) 1992-11-27 1996-09-18 日本電気株式会社 半導体装置およびその製造方法
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW314654B (en) 1996-09-07 1997-09-01 United Microelectronics Corp Manufacturing method of conductive plug
CN1115723C (zh) 1996-11-15 2003-07-23 三星电子株式会社 氮化钨层制造方法及使用同样原理的金属连线制造方法
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6114242A (en) 1997-12-05 2000-09-05 Taiwan Semiconductor Manufacturing Company MOCVD molybdenum nitride diffusion barrier for Cu metallization
US6103609A (en) * 1997-12-11 2000-08-15 Lg Semicon Co., Ltd. Method for fabricating semiconductor device
KR100477840B1 (ko) 1997-12-27 2005-06-29 주식회사 하이닉스반도체 반도체장치의장벽금속막형성방법
KR100272523B1 (ko) 1998-01-26 2000-12-01 김영환 반도체소자의배선형성방법
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
FR2795745B1 (fr) 1999-06-30 2001-08-03 Saint Gobain Vitrage Procede de depot d'une couche a base de tungstene et/ou de molybdene sur un substrat verrier, ceramique ou vitroceramique, et substrat ainsi revetu
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6358788B1 (en) 1999-08-30 2002-03-19 Micron Technology, Inc. Method of fabricating a wordline in a memory array of a semiconductor device
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
JP2001284360A (ja) 2000-03-31 2001-10-12 Hitachi Ltd 半導体装置
JP2001298028A (ja) 2000-04-17 2001-10-26 Tokyo Electron Ltd 半導体デバイス製造方法
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US20030190424A1 (en) 2000-10-20 2003-10-09 Ofer Sneh Process for tungsten silicide atomic layer deposition
US6271084B1 (en) 2001-01-16 2001-08-07 Taiwan Semiconductor Manufacturing Company Method of fabricating a metal-insulator-metal (MIM), capacitor structure using a damascene process
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US20070009658A1 (en) 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
KR101013231B1 (ko) 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
KR20030043201A (ko) 2001-11-27 2003-06-02 주식회사 하이닉스반도체 반도체 소자의 콘택 플러그 형성방법
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
CN1675402A (zh) 2002-07-12 2005-09-28 哈佛学院院长等 氮化钨的汽相沉积
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
JP2005026380A (ja) 2003-06-30 2005-01-27 Toshiba Corp 不揮発性メモリを含む半導体装置及びその製造方法
US7282738B2 (en) 2003-07-18 2007-10-16 Corning Incorporated Fabrication of crystalline materials over substrates
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
US9029189B2 (en) 2003-11-14 2015-05-12 President And Fellows Of Harvard College Bicyclic guanidines, metal complexes thereof and their use in vapor deposition
JP2005150416A (ja) 2003-11-17 2005-06-09 Hitachi Ltd 半導体集積回路装置及びその製造方法
KR20050054122A (ko) 2003-12-04 2005-06-10 성명모 자외선 원자층 증착법을 이용한 박막 제조 방법
US7115304B2 (en) 2004-02-19 2006-10-03 Nanosolar, Inc. High throughput surface treatment on coiled flexible substrates
DE102004010954A1 (de) 2004-03-03 2005-10-06 Novaled Gmbh Verwendung eines Metallkomplexes als n-Dotand für ein organisches halbleitendes Matrixmaterial, organisches Halbleitermaterial und elektronisches Bauteil
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
JP4294530B2 (ja) 2004-03-31 2009-07-15 富士重工業株式会社 エンジンの空燃比制御装置
US6987063B2 (en) 2004-06-10 2006-01-17 Freescale Semiconductor, Inc. Method to reduce impurity elements during semiconductor film deposition
US20050282384A1 (en) 2004-06-17 2005-12-22 Hidemi Nawafune Method for forming protective film and electroless plating bath
US20090304914A1 (en) 2006-08-30 2009-12-10 Lam Research Corporation Self assembled monolayer for improving adhesion between copper and barrier layer
KR100615093B1 (ko) 2004-08-24 2006-08-22 삼성전자주식회사 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법
US7250367B2 (en) 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US20060068098A1 (en) 2004-09-27 2006-03-30 Tokyo Electron Limited Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
US20060115590A1 (en) 2004-11-29 2006-06-01 Tokyo Electron Limited; International Business Machines Corporation Method and system for performing in-situ cleaning of a deposition system
US7659203B2 (en) 2005-03-18 2010-02-09 Applied Materials, Inc. Electroless deposition process on a silicon contact
EP1728894B1 (en) 2005-06-01 2008-10-15 Interuniversitair Microelektronica Centrum ( Imec) Atomic layer deposition (ald) method for producing a high quality layer
US7538001B2 (en) 2005-09-01 2009-05-26 Micron Technology, Inc. Transistor gate forming methods and integrated circuits
US20070066060A1 (en) 2005-09-19 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and fabrication methods thereof
JP2009520374A (ja) 2005-12-20 2009-05-21 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 縦型相変化メモリセルおよびその製造方法
US7910907B2 (en) 2006-03-15 2011-03-22 Macronix International Co., Ltd. Manufacturing method for pipe-shaped electrode phase change memory
US20070232015A1 (en) 2006-04-04 2007-10-04 Jun Liu Contact for memory cell
US8278216B1 (en) 2006-08-18 2012-10-02 Novellus Systems, Inc. Selective capping of copper
JP4267013B2 (ja) 2006-09-12 2009-05-27 エルピーダメモリ株式会社 半導体装置の製造方法
KR100881391B1 (ko) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
KR100873890B1 (ko) 2006-11-17 2008-12-15 삼성전자주식회사 상변화 메모리 유닛, 이의 제조 방법 및 이를 포함하는상변화 메모리 장치 및 그 제조 방법
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
TWI324823B (en) 2007-02-16 2010-05-11 Ind Tech Res Inst Memory device and fabrications thereof
JP2008205219A (ja) 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
US7786006B2 (en) 2007-02-26 2010-08-31 Tokyo Electron Limited Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming
CN100577866C (zh) 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20080268642A1 (en) 2007-04-20 2008-10-30 Kazutaka Yanagita Deposition of transition metal carbide containing films
KR100883412B1 (ko) 2007-05-09 2009-02-11 삼성전자주식회사 자기 정렬된 전극을 갖는 상전이 메모리소자의 제조방법,관련된 소자 및 전자시스템
TWI493058B (zh) 2007-05-15 2015-07-21 Applied Materials Inc 鎢材料的原子層沈積法
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
KR100890047B1 (ko) 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US8142847B2 (en) 2007-07-13 2012-03-27 Rohm And Haas Electronic Materials Llc Precursor compositions and methods
US8017183B2 (en) 2007-09-26 2011-09-13 Eastman Kodak Company Organosiloxane materials for selective area deposition of inorganic materials
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR20090101592A (ko) 2008-03-24 2009-09-29 삼성전자주식회사 산화막 형성 방법 및 이를 이용한 게이트 형성 방법
WO2009125255A1 (en) * 2008-04-11 2009-10-15 Freescale Semiconductor, Inc. Surface treatment in semiconductor manufacturing
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US20100120245A1 (en) 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
CN102265383B (zh) 2008-12-31 2014-06-11 应用材料公司 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
KR101559425B1 (ko) 2009-01-16 2015-10-13 삼성전자주식회사 반도체 소자의 제조 방법
US8492817B2 (en) 2009-02-13 2013-07-23 International Business Machines Corporation Highly scalable trench capacitor
KR20100096488A (ko) 2009-02-24 2010-09-02 삼성전자주식회사 리세스 채널 구조를 갖는 반도체 소자
JP5550843B2 (ja) 2009-03-19 2014-07-16 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US20110020546A1 (en) 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
KR101604054B1 (ko) 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
TWI449170B (zh) 2009-12-29 2014-08-11 Ind Tech Res Inst 相變化記憶體裝置及其製造方法
US8642797B2 (en) 2010-02-25 2014-02-04 Air Products And Chemicals, Inc. Amidate precursors for depositing metal containing films
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
IL213195A0 (en) 2010-05-31 2011-07-31 Rohm & Haas Elect Mat Photoresist compositions and emthods of forming photolithographic patterns
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US20120003833A1 (en) 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for forming tungsten-containing layers
TW201314739A (zh) 2010-09-27 2013-04-01 Astrowatt Inc 包含半導體層及含金屬層之電子裝置及其形成方法
WO2012057884A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Nitrogen-containing ligands and their use in atomic layer deposition methods
US8227785B2 (en) 2010-11-11 2012-07-24 Micron Technology, Inc. Chalcogenide containing semiconductors with chalcogenide gradient
US8969823B2 (en) 2011-01-21 2015-03-03 Uchicago Argonne, Llc Microchannel plate detector and methods for their fabrication
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
JP5730670B2 (ja) 2011-05-27 2015-06-10 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料
KR101817158B1 (ko) 2011-06-02 2018-01-11 삼성전자 주식회사 적층형 캐패시터를 포함하는 상변화 메모리 장치
WO2013063260A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
CN104136448B (zh) 2012-01-26 2015-12-02 辛格玛艾瑞契有限责任公司 钼烯丙基络合物和其于薄膜沉积中的用途
JP6195898B2 (ja) 2012-03-27 2017-09-13 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 核形成の抑制を伴うタングステンによるフィーチャ充填
WO2013148880A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
KR101990051B1 (ko) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
WO2014052642A1 (en) 2012-09-28 2014-04-03 Advanced Technology Materials, Inc. Fluorine free tungsten ald/cvd process
JP2014074190A (ja) 2012-10-02 2014-04-24 Tokyo Electron Ltd 成膜装置
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9627611B2 (en) 2012-11-21 2017-04-18 Micron Technology, Inc. Methods for forming narrow vertical pillars and integrated circuit devices having the same
US9546419B2 (en) 2012-11-26 2017-01-17 Applied Materials, Inc. Method of reducing tungsten film roughness and resistivity
US9029258B2 (en) 2013-02-05 2015-05-12 Lam Research Corporation Through silicon via metallization
WO2014140672A1 (en) 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
KR102291990B1 (ko) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TWI672737B (zh) 2013-12-27 2019-09-21 美商蘭姆研究公司 允許低電阻率鎢特徵物填充之鎢成核程序
US11286557B2 (en) 2014-01-24 2022-03-29 Commissariat A L'energie Atomique Et Aux Engergies Alternatives Method of forming a crystalline thin film having the formula MY2 using an ALD-formed amorphous thin film having the formula MYx as a precursor
JP5852151B2 (ja) * 2014-02-12 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP2015177006A (ja) 2014-03-14 2015-10-05 株式会社東芝 半導体装置及びその製造方法
JP6379550B2 (ja) 2014-03-18 2018-08-29 東京エレクトロン株式会社 成膜装置
JP6297884B2 (ja) 2014-03-28 2018-03-20 東京エレクトロン株式会社 タングステン膜の成膜方法
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US20150348840A1 (en) 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten
US9551074B2 (en) 2014-06-05 2017-01-24 Lam Research Corporation Electroless plating solution with at least two borane containing reducing agents
US9624577B2 (en) 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
TWI656232B (zh) 2014-08-14 2019-04-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 鉬組成物及其用於形成氧化鉬膜之用途
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9548266B2 (en) 2014-08-27 2017-01-17 Nxp Usa, Inc. Semiconductor package with embedded capacitor and methods of manufacturing same
WO2016032468A1 (en) 2014-08-27 2016-03-03 Ultratech, Inc. Improved through silicon via
KR102156409B1 (ko) 2014-09-16 2020-09-15 에스케이하이닉스 주식회사 패턴 형성 방법
JP2016098406A (ja) 2014-11-21 2016-05-30 東京エレクトロン株式会社 モリブデン膜の成膜方法
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US20160168699A1 (en) 2014-12-12 2016-06-16 Asm Ip Holding B.V. Method for depositing metal-containing film using particle-reduction step
US9502263B2 (en) 2014-12-15 2016-11-22 Applied Materials, Inc. UV assisted CVD AlN film for BEOL etch stop application
US9443865B2 (en) 2014-12-18 2016-09-13 Sandisk Technologies Llc Fabricating 3D NAND memory having monolithic crystalline silicon vertical NAND channel
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
KR102440302B1 (ko) 2015-04-13 2022-09-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제작 방법
US20160309596A1 (en) 2015-04-15 2016-10-20 Applied Materials, Inc. Methods for forming cobalt interconnects
US10079144B2 (en) 2015-04-22 2018-09-18 Samsung Electronics Co., Ltd. Composition for layered transition metal chalcogenide compound layer and method of forming layered transition metal chalcogenide compound layer
US11183645B2 (en) 2015-05-11 2021-11-23 Nippon Hoso Kyokai Organic thin film and method for manufacturing organic thin film, organic electroluminescence element, display device, illumination device, organic thin film solar cell, thin film transistor, and coating composition
TW201700761A (zh) 2015-05-13 2017-01-01 應用材料股份有限公司 經由基材的有機金屬或矽烷預處理而改良的鎢膜
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
KR102314722B1 (ko) 2015-05-27 2021-10-20 에이에스엠 아이피 홀딩 비.브이. 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10121671B2 (en) * 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
US20170062714A1 (en) 2015-08-31 2017-03-02 Intel Corporation Thermally regulated electronic devices, systems, and associated methods
US9853123B2 (en) 2015-10-28 2017-12-26 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9947578B2 (en) * 2015-11-25 2018-04-17 Applied Materials, Inc. Methods for forming low-resistance contacts through integrated process flow systems
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
JP2019510877A (ja) 2016-02-19 2019-04-18 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung モリブデンカルボニル前駆体を使用したモリブデン薄膜の蒸着
US9837350B2 (en) 2016-04-12 2017-12-05 International Business Machines Corporation Semiconductor interconnect structure with double conductors
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
TWI732846B (zh) 2016-04-25 2021-07-11 美商應用材料股份有限公司 透過控制前驅物混合來強化金屬的空間ald
US10214807B2 (en) 2016-06-02 2019-02-26 Lam Research Corporation Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack
US9659998B1 (en) 2016-06-07 2017-05-23 Macronix International Co., Ltd. Memory having an interlayer insulating structure with different thermal resistance
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
WO2018013778A1 (en) 2016-07-14 2018-01-18 Entegris, Inc. Cvd mo deposition by using mooc14
KR20190035784A (ko) 2016-07-26 2019-04-03 도쿄엘렉트론가부시키가이샤 텅스텐막의 성막 방법
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9899372B1 (en) 2016-10-31 2018-02-20 International Business Machines Corporation Forming on-chip metal-insulator-semiconductor capacitor
US20180142345A1 (en) 2016-11-23 2018-05-24 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US10453744B2 (en) 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US10262945B2 (en) 2016-11-28 2019-04-16 Sandisk Technologies Llc Three-dimensional array device having a metal containing barrier and method of making thereof
JP2018098287A (ja) 2016-12-09 2018-06-21 東芝メモリ株式会社 半導体装置の製造方法
US10283404B2 (en) 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
KR102466639B1 (ko) 2017-04-10 2022-11-11 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US11177127B2 (en) 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
JP7256135B2 (ja) 2017-06-23 2023-04-11 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 選択的な膜成長のための原子層堆積の方法
US10199267B2 (en) 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
US20190067014A1 (en) 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20190067003A1 (en) 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
TWI784036B (zh) 2017-08-30 2022-11-21 荷蘭商Asm智慧財產控股公司 層形成方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11049714B2 (en) 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US10096475B1 (en) 2017-11-17 2018-10-09 Lam Research Corporation System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks
CN111357083A (zh) 2017-11-20 2020-06-30 朗姆研究公司 自限制生长
JP7366019B2 (ja) 2017-12-14 2023-10-20 アプライド マテリアルズ インコーポレイテッド エッチング残留物の少ない金属酸化物のエッチング方法
US10381411B2 (en) 2017-12-15 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device containing conformal wrap around phase change material and method of manufacturing the same
US11560625B2 (en) 2018-01-19 2023-01-24 Entegris, Inc. Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
JP2021523292A (ja) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
US20220044929A1 (en) 2018-05-22 2022-02-10 Versum Patents Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US10505111B1 (en) 2018-07-20 2019-12-10 International Business Machines Corporation Confined phase change memory with double air gap
KR20210027507A (ko) 2018-07-26 2021-03-10 램 리써치 코포레이션 순수 금속 막의 증착
WO2020028587A1 (en) 2018-07-31 2020-02-06 Lam Research Corporation Multi-layer feature fill
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11387112B2 (en) 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system
US10510951B1 (en) 2018-10-24 2019-12-17 Taiwan Semicondutor Manufacturing Co., Ltd. Low temperature film for PCRAM sidewall protection
US11362277B2 (en) 2018-11-14 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Sidewall protection for PCRAM device
KR102355507B1 (ko) 2018-11-14 2022-01-27 (주)디엔에프 몰리브덴 함유 박막의 제조방법 및 이로부터 제조된 몰리브덴함유 박막
WO2020106649A1 (en) 2018-11-19 2020-05-28 Lam Research Corporation Molybdenum templates for tungsten
US10763432B2 (en) 2018-12-13 2020-09-01 Intel Corporation Chalcogenide-based memory architecture
US10903273B2 (en) 2019-01-04 2021-01-26 International Business Machines Corporation Phase change memory with gradual conductance change
TW202405220A (zh) * 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11282745B2 (en) 2019-04-28 2022-03-22 Applied Materials, Inc. Methods for filling features with ruthenium
US11891690B2 (en) 2019-08-12 2024-02-06 Applied Materials, Inc. Molybdenum thin films by oxidation-reduction
WO2021035236A1 (en) 2019-08-22 2021-02-25 Lam Research Corporation Substantially carbon-free molybdenum-containing and tungsten-containing films in semiconductor device manufacturing
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
WO2021046058A1 (en) 2019-09-03 2021-03-11 Lam Research Corporation Molybdenum deposition
US11450562B2 (en) 2019-09-16 2022-09-20 Tokyo Electron Limited Method of bottom-up metallization in a recessed feature
US11145690B2 (en) 2019-09-26 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method thereof
WO2021076636A1 (en) 2019-10-15 2021-04-22 Lam Research Corporation Molybdenum fill
US11821080B2 (en) 2020-03-05 2023-11-21 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Reagents to remove oxygen from metal oxyhalide precursors in thin film deposition processes
KR20220149611A (ko) 2020-03-06 2022-11-08 램 리써치 코포레이션 몰리브덴의 원자 층 에칭
WO2021183728A1 (en) 2020-03-11 2021-09-16 Applied Materials, Inc. Gap fill methods using catalyzed deposition
US11417568B2 (en) 2020-04-10 2022-08-16 Applied Materials, Inc. Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill
KR20210137395A (ko) 2020-05-07 2021-11-17 에이에스엠 아이피 홀딩 비.브이. 불소계 라디칼을 이용하여 반응 챔버의 인시츄 식각을 수행하기 위한 장치 및 방법
CN115668480A (zh) 2020-05-22 2023-01-31 朗姆研究公司 低电阻率触点和互连部
KR20210156444A (ko) 2020-06-18 2021-12-27 주식회사 아이켐스 몰리브데넘 함유 전구체, 이를 이용한 몰리브데넘 함유 박막 및 이의 제조 방법.
TW202200828A (zh) 2020-06-24 2022-01-01 荷蘭商Asm Ip私人控股有限公司 含鉬薄膜的氣相沉積
US11282711B2 (en) 2020-07-31 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-assisted etching of metal oxides
KR20220058434A (ko) 2020-10-30 2022-05-09 에이에스엠 아이피 홀딩 비.브이. 몰리브덴 증착 방법
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
US11530477B2 (en) 2021-01-12 2022-12-20 Applied Materials, Inc. Cycloheptatriene molybdenum (0) precursors for deposition of molybdenum films
US20220220139A1 (en) 2021-01-12 2022-07-14 Applied Materials, Inc. Molybdenum (0) precursors for deposition of molybdenum films

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
等离子体增强原子层沉积原理与应用;曹燕强;李爱东;;微纳电子技术(07);483-490 *

Also Published As

Publication number Publication date
CN116970925A (zh) 2023-10-31
CN113366144A (zh) 2021-09-07
KR20220139417A (ko) 2022-10-14
US20220356579A1 (en) 2022-11-10
TW202039911A (zh) 2020-11-01
WO2020159882A1 (en) 2020-08-06
KR20210110886A (ko) 2021-09-09
US11970776B2 (en) 2024-04-30
US20220195598A1 (en) 2022-06-23
SG11202108217UA (en) 2021-08-30
JP2022180422A (ja) 2022-12-06
JP2022523689A (ja) 2022-04-26

Similar Documents

Publication Publication Date Title
US20220389579A1 (en) Deposition of pure metal films
KR102641077B1 (ko) 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들
CN113366144B (zh) 金属膜的沉积
CN111357083A (zh) 自限制生长
US20220359211A1 (en) Molybdenum templates for tungsten
TW201700773A (zh) 字元線應用所使用的鎢
US11972952B2 (en) Atomic layer deposition on 3D NAND structures
WO2020236749A1 (en) Nucleation-free tungsten deposition
JP2024514605A (ja) モリブデンの堆積
WO2022108908A1 (en) Low resistance pulsed cvd tungsten
US20240136192A1 (en) Non-metal incorporation in molybdenum on dielectric surfaces
CN112218975A (zh) 减少跨膜界面的扩散

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant