JP2021523292A - 3d nand構造内にタングステンおよび他の金属を堆積させる方法 - Google Patents

3d nand構造内にタングステンおよび他の金属を堆積させる方法 Download PDF

Info

Publication number
JP2021523292A
JP2021523292A JP2020561743A JP2020561743A JP2021523292A JP 2021523292 A JP2021523292 A JP 2021523292A JP 2020561743 A JP2020561743 A JP 2020561743A JP 2020561743 A JP2020561743 A JP 2020561743A JP 2021523292 A JP2021523292 A JP 2021523292A
Authority
JP
Japan
Prior art keywords
pulse
tungsten
metal precursor
pulses
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020561743A
Other languages
English (en)
Other versions
JPWO2019213604A5 (ja
Inventor
ブテイル・ゴラン
コリンズ・ジョシュア
バムノルカー・ハンナ
ヴァラダラジャン・セシャサイー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021523292A publication Critical patent/JP2021523292A/ja
Publication of JPWO2019213604A5 publication Critical patent/JPWO2019213604A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

本明細書では、フィーチャに金属含有材料を充填するための方法および装置を提供する。本開示の一態様は、金属含有材料によって構造を充填する方法に関し、この方法は、金属含有材料で充填すべき構造を準備することと、その構造を複数回の堆積サイクルに暴露することと、を含み、各堆積サイクルは、1回以上の交互の還元剤(例えば、水素(H2))ドーズパルス/不活性ガスパージパルスへの暴露と、その後に続いて、1回以上の交互の金属前駆体ドーズパルスと不活性ガスパージパルスへの暴露を含む。金属は、いくつかの実施形態において、タングステン(W)またはモリブデン(Mo)であってよい。いくつかの実施形態では、構造は、製造途中の(3D)NAND構造である。さらに、この方法を実施するための装置を提供する。
【選択図】図3

Description

[参照による引用]
PCT申請書は、本出願の一部として本明細書と併せて提出される。併せて提出されるPCT申請書に挙げるように、本出願が利益または優先権を主張する各出願は、その全体があらゆる目的のために参照により本明細書に組み込まれる。
タングステン含有材料の堆積は、多くの半導体製造プロセスの欠かせない一部である。このような材料は、水平配線、隣接する金属層間のビア、および金属層とデバイスとの間のコンタクトに用いられることがある。従来のタングステン堆積プロセスでは、真空チャンバ内で基板を処理温度に加熱して、(核形成層とも呼ばれる)シード層として機能するタングステン膜の極めて薄い部分を堆積させる。その後、化学気相成長(CVD)プロセスにおいて2種類の反応物質に同時に基板を暴露することにより、タングステン膜の(バルク層と呼ばれる)残り部分を核形成層の上に堆積させる。一般的に、バルク層は、核形成層よりも高速で堆積される。しかしながら、当業界で、デバイスのシュリンクが進み、より複雑なパターニング方式が用いられることに伴って、タングステン薄膜の堆積は、難題となる。3D NAND構造のような複雑な高アスペクト比構造への堆積は、特に困難である。
本明細書において、金属含有材料によってフィーチャを充填するための方法および装置を提供する。本開示の一態様は、金属含有材料によって構造を充填する方法に関し、この方法は、金属含有材料で充填すべき構造を準備することと、その構造を複数回の堆積サイクルに暴露することと、を含み、各堆積サイクルは、1回以上の交互の還元剤(例えば、水素(H2))ドーズパルス/不活性ガスパージパルスへの暴露と、その後に続いて、1回以上の交互の金属前駆体ドーズパルスと不活性ガスパージパルスへの暴露を含む。金属は、いくつかの実施形態において、タングステン(W)またはモリブデン(Mo)であってよい。
いくつかの実施形態では、構造は、製造途中の3次元(3D)NAND構造であって、これは、側壁と、その側壁に複数の開口部と、を有し、それらの開口部は、開口部を通して流体アクセス可能な複数の内部領域を有する複数のフィーチャにつながっている。いくつかの実施形態において、金属前駆体は、六塩化タングステン、五塩化タングステン、四塩化タングステン、五塩化モリブデン、二塩化二酸化モリブデン、四塩化酸化モリブデン、およびそれらの混合物のような、塩素含有金属前駆体である。いくつかの実施形態において、塩素含有金属前駆体のパルスは、体積で約0.1%〜約5.0%の間の塩素含有金属前駆体を含む。いくつかの実施形態では、複数回の交互の金属前駆体パルスと不活性ガスパージパルスへの暴露は、金属前駆体パルスの最中に不活性ガスパージ流をオフにすることを含む。いくつかの実施形態では、不活性ガスパージパルスの継続時間は、金属前駆体パルスの継続時間の少なくとも1.5倍である。いくつかの実施形態において、各堆積サイクルは、少なくとも5回または少なくとも10回の交互の金属前駆体パルスと不活性ガスパージパルスを含む。いくつかの実施形態では、各堆積サイクルは、1回のみのH2パルスを含む。他の実施形態では、各堆積サイクルは、複数回の交互のH2パルスと不活性ガスパルスを含む。
本開示の他の態様は、金属含有材料によって構造を充填する方法に関し、この方法は、金属含有材料で充填すべき構造を準備することと、その構造を複数回の堆積サイクルに暴露することと、を含み、各堆積サイクルは、還元剤(例えば、水素(H2))ドーズパルスへの暴露と、その後に続いて、不活性ガスパルスへの暴露と、さらに、複数回の交互の金属前駆体ドーズパルスと不活性ガスパージパルスへの暴露を含む。いくつかの実施形態では、構造は、製造途中の3次元(3D)NAND構造であって、これは、側壁と、その側壁に複数の開口部と、を有し、それらの開口部は、開口部を通して流体アクセス可能な複数の内部領域を有する複数のフィーチャにつながっている。いくつかの実施形態において、金属前駆体は、六塩化タングステン、五塩化タングステン、四塩化タングステン、五塩化モリブデン、二塩化二酸化モリブデン、四塩化酸化モリブデン、およびそれらの混合物のような、塩素含有金属前駆体である。いくつかの実施形態において、塩素含有金属前駆体のパルスは、体積で約0.1%〜約5.0%の間の塩素含有金属前駆体を含む。いくつかの実施形態では、複数回の交互の金属前駆体パルスと不活性ガスパージパルスへの暴露は、金属前駆体パルスの最中に不活性ガスパージ流をオフにすることを含む。いくつかの実施形態では、不活性ガスパージパルスの継続時間は、金属前駆体パルスの継続時間の少なくとも1.5倍である。いくつかの実施形態において、各堆積サイクルは、少なくとも5回または少なくとも10回の交互の金属前駆体パルスと不活性ガスパージパルスを含む。
本開示の他の態様は、装置に関し、この装置は、基板を保持するようにそれぞれ構成された1つ以上の処理チャンバと、還元剤(例えば、水素(H2))ガス源、金属前駆体ガス源、および不活性パージガス源に結合するための1つ以上の処理ガス入口と、この装置におけるオペレーションを制御するためのコントローラと、を備え、コントローラは、複数回の堆積サイクルを実行するためのマシン可読命令を含み、各堆積サイクルは、1つ以上の処理ガス入口を介して1つ以上の処理チャンバに水素(H2)パルスを注入することと、H2パルスの注入後に、1つ以上の処理ガス入口を介して1つ以上の処理チャンバに不活性パージガスパルスを注入することと、不活性パージガスパルスの注入後に、1つ以上のパージガス入口を介して1つ以上の処理チャンバに複数回の交互の金属前駆体パルスと不活性ガスパージパルスを注入することと、を含む。いくつかの実施形態では、金属前駆体は、塩素含有金属前駆体である。いくつかの実施形態では、命令は、金属前駆体パルスの最中に不活性ガスパージ流をオフにするための命令を含む。いくつかの実施形態では、不活性ガスパージパルスの継続時間は、金属前駆体パルスの継続時間の少なくとも1.5倍である。いくつかの実施形態では、各堆積サイクルは、少なくとも5回の交互の金属前駆体パルスと不活性ガスパージパルスを含む。いくつかの実施形態では、各堆積サイクルは、少なくとも10回の交互の金属前駆体パルスと不活性ガスパージパルスを含む。
本開示の他の態様は、金属含有材料によって構造を充填する方法に関し、この方法は、金属含有材料で充填すべき構造を準備することと、その構造を複数回の堆積サイクルに暴露することと、を含み、各堆積サイクルは、複数回の交互の水素(H2)パルスと続いて不活性ガスパルスへの暴露と、さらに、金属前駆体パルスと続いて不活性ガスパージパルスへの暴露を含む。いくつかの実施形態では、構造は、製造途中の3次元(3D)NAND構造であって、これは、側壁と、その側壁に複数の開口部と、を有し、それらの開口部は、開口部を通して流体アクセス可能な複数の内部領域を有する複数のフィーチャにつながっている。いくつかの実施形態では、金属前駆体は、塩素含有金属前駆体である。いくつかの実施形態において、塩素含有金属前駆体のパルスは、体積で約0.1%〜約5.0%の間の塩素含有金属前駆体を含む。いくつかの実施形態では、複数回の交互のH2パルスと不活性ガスパージパルスへの暴露は、金属前駆体パルスの最中に不活性ガスパージ流をオフにすることを含む。いくつかの実施形態では、各堆積サイクルは、1回のみの金属前駆体パルスを含む。他の実施形態では、各堆積サイクルは、複数回の交互の金属前駆体パルスと不活性ガスパルスを含む。
本開示の他の態様は、装置に関し、この装置は、基板を保持するようにそれぞれ構成された1つ以上の処理チャンバと、水素(H2)ガス源、金属前駆体ガス源、および不活性パージガス源に結合するための1つ以上の処理ガス入口と、この装置におけるオペレーションを制御するためのコントローラと、を備え、コントローラは、複数回の堆積サイクルを実行するためのマシン可読命令を含み、各堆積サイクルは、1つ以上のパージガス入口を介して1つ以上の処理チャンバに複数回の交互のH2パルスと不活性ガスパージパルスを注入することと、金属前駆体パルスと続いて不活性ガスパルスを注入することと、を含む。いくつかの実施形態では、金属前駆体は、塩素含有金属前駆体である。いくつかの実施形態では、命令は、H2パルスの最中に不活性ガスパージ流をオフにするための命令を含む。
これらおよび他の態様について、図面を参照して、以下でさらに説明する。
図1Aは、基板上の例示的な膜の概略図である。
図1Bは、開示されるいくつかの実施形態に従ってタングステンまたはモリブデンが堆積され得る種々の構造の概略例である。 図1Cは、開示されるいくつかの実施形態に従ってタングステンまたはモリブデンが堆積され得る種々の構造の概略例である。 図1Dは、開示されるいくつかの実施形態に従ってタングステンまたはモリブデンが堆積され得る種々の構造の概略例である。 図1Eは、開示されるいくつかの実施形態に従ってタングステンまたはモリブデンが堆積され得る種々の構造の概略例である。 図1Fは、開示されるいくつかの実施形態に従ってタングステンまたはモリブデンが堆積され得る種々の構造の概略例である。 図1Gは、開示されるいくつかの実施形態に従ってタングステンまたはモリブデンが堆積され得る種々の構造の概略例である。 図1Hは、開示されるいくつかの実施形態に従ってタングステンまたはモリブデンが堆積され得る種々の構造の概略例である。 図1Iは、開示されるいくつかの実施形態に従ってタングステンまたはモリブデンが堆積され得る種々の構造の概略例である。 図1Jは、開示されるいくつかの実施形態に従ってタングステンまたはモリブデンが堆積され得る種々の構造の概略例である。
図2Aは、開示されるいくつかの実施形態による方法のオペレーションを示すプロセスフロー図である。 図2Bは、開示されるいくつかの実施形態による方法のオペレーションを示すプロセスフロー図である。 図2Cは、開示されるいくつかの実施形態による方法のオペレーションを示すプロセスフロー図である。
図3は、開示されるいくつかの実施形態に従って膜を堆積させる方法における例示的なサイクルを示すタイミングシーケンス図である。
図4Aは、開示されるいくつかの実施形態に従って膜を堆積させる機構の一例の概略図である。 図4Bは、開示されるいくつかの実施形態に従って膜を堆積させる機構の一例の概略図である。 図4Cは、開示されるいくつかの実施形態に従って膜を堆積させる機構の一例の概略図である。 図4Dは、開示されるいくつかの実施形態に従って膜を堆積させる機構の一例の概略図である。 図4Eは、開示されるいくつかの実施形態に従って膜を堆積させる機構の一例の概略図である。 図4Fは、開示されるいくつかの実施形態に従って膜を堆積させる機構の一例の概略図である。 図4Gは、開示されるいくつかの実施形態に従って膜を堆積させる機構の一例の概略図である。 図4Hは、開示されるいくつかの実施形態に従って膜を堆積させる機構の一例の概略図である。 図4Iは、開示されるいくつかの実施形態に従って膜を堆積させる機構の一例の概略図である。 図4Jは、開示されるいくつかの実施形態に従って膜を
図5は、H2/Ar/WClx/Arシーケンスを用いた場合と、H2/Ar/n(WClx/Ar)シーケンスを用いた場合の、3D NAND構造のタングステン充填を比較した実験結果の図を示している。
図6は、開示されるいくつかの実施形態を実施するための例示的なプロセスツールの概略図である。
図7は、開示されるいくつかの実施形態を実施するための例示的なステーションの概略図である。
図8は、いくつかの実施形態に従って使用され得るマニホールドシステムの基本的特徴を示す概略図である。
以下の説明では、提示する実施形態についての完全な理解を与えるため、様々な具体的詳細について記載する。開示される実施形態は、それら特定の詳細の一部または全てを省いて実施してもよい。一方で、開示される実施形態を不必要に不明瞭にすることがないよう、周知の工程処理については詳細に記載していない。開示される実施形態は、具体的な実施形態に関連して説明されるものの、それは、開示される実施形態を限定するものではないことは理解されるであろう。
タングステン(W)によるフィーチャ充填は、半導体デバイスの製造において、電気的コンタクトを形成するために、よく用いられる。タングステン膜を堆積させる従来の方法では、最初にタングステン核形成層をビアまたはコンタクト内に堆積させる。一般的に、核形成層は、その上へのバルク材のその後の形成を促すように機能するコンフォーマル薄層である。タングステン核形成層は、フィーチャの側壁および底をコンフォーマルに被覆するように堆積され得る。高品質の堆積をサポートするためには、下にあるフィーチャの底および側壁に適合することが重要となり得る。核形成層は、多くの場合、原子層堆積(ALD)法またはパルス核形成層(PNL)法を用いて堆積される。
PNL法では、反応物質のパルスを、順次注入し、そして、典型的には反応物質の合間のパージガスのパルスによって、反応チャンバからパージさせる。最初の反応物質を基板上に吸着させることができ、次の反応物質と反応させることができるようになる。このプロセスを、所望の厚さが得られるまで周期的に繰り返す。PNL法は、ALD法に類似している。PNLは、一般的に、その動作圧力範囲がより高い(1トル超である)ことと、1サイクルあたりの成長速度がより高い(1サイクルあたりの膜成長が1原子層を超える)ことによって、ALDと区別される。PNL堆積の際のチャンバ圧力は、約1トル〜約400トルの範囲であってよい。本明細書で提示する説明の文脈では、PNLは、半導体基板上での反応のための反応物質を順次加える任意の周期的プロセスを、広く具現化する。従って、この概念は、従来よりALDと呼ばれる手法を、具現化する。開示される実施形態の文脈では、化学気相成長(CVD)は、気相反応または表面反応のために反応物質が一緒に反応器に導入されるプロセスを具現化する。PNLプロセスおよびALDプロセスは、CVDプロセスとは異なり、その逆もまた同様である。
タングステン核形成層を堆積させた後に、典型的にはCVDプロセスによって、水素(H2)のような還元剤を用いて六フッ化タングステン(WF6)を還元することにより、バルクタングステンを堆積させる。バルクタングステンは、タングステン核形成層とは異なる。本明細書で使用する場合のバルクタングステンとは、フィーチャの少なくとも約50%など、フィーチャの大部分または全体を充填するために用いるタングステンを指す。その上へのバルク材のその後の形成を促すように機能するコンフォーマル薄膜である核形成層とは異なり、バルクタングステンは、電流を運ぶために用いられる。それは、核形成膜と比較して、より大きい粒子サイズおよびより低い抵抗率によって特徴付けられることがある。種々の実施形態において、バルクタングステンは、少なくとも50Åの厚さに堆積されるタングステンである。
より微細なテクノロジノードへとデバイスのスケーリングが進むとともに、より複雑なパターニング構造が用いられることに伴って、タングステン充填において様々な課題が生じる。従来のタングステン堆積は、フッ素含有前駆体である六フッ化タングステン(WF6)の使用を伴っていた。ところが、WF6を使用すると、結果的に、堆積後のタングステン膜にフッ素がいくらか取り込まれる。フッ素が存在することによって、エレクトロマイグレーションおよび/または隣接するコンポーネントへのフッ素拡散が発生する可能性があるとともに、コンタクトを損傷する可能性があり、これにより、デバイスの性能が低下する。課題の1つは、堆積後のタングステン膜中のフッ素含有量を低減することである。ある特定のフッ素濃度の影響は、フィーチャサイズが小さくなるにつれて、大きくなる。これは、フィーチャが小さいほど、より薄い膜が堆積されて、堆積後のタングステン膜中のフッ素は、より薄い膜を抜けて拡散する可能性が高くなるからである。
フッ素拡散を防ぐ1つの方法として、タングステンを堆積させる前に、フッ素がタングステンから酸化物層のような基板の他の層に拡散することを阻止するための1つ以上のバリア層を堆積させることが挙げられる。例えば、図1Aは、基板上に堆積された層の例示的なスタックを示している。基板190は、シリコン層192と、酸化物層194(例えば、酸化チタン(TiOx)、テトラエチルオルトシリケート(TEOS)酸化物など)と、バリア層196(例えば、窒化チタン(TiN))と、タングステン核形成層198と、バルクタングステン層199と、を含む。バリア層196は、バルクタングステン層199およびタングステン核形成層198から酸化物層へのフッ素拡散を防ぐために堆積される。しかしながら、デバイスのシュリンクが進むにつれて、バリア層はより薄くなり、堆積後のタングステン層からフッ素が拡散することが依然としてあり得る。より高温でバルクタングステンの化学気相成長を実施することで、結果的にフッ素含有量は低減するが、そのような膜は、ステップカバレッジが不十分であり得る。
別の課題は、堆積後の膜における応力を低減することである。より薄いタングステン膜ほど、より大きな引張応力を有する傾向がある。化学気相成長によってバルクタングステン膜を堆積させるための従来の技術では、200Åの膜の場合、2.5GPaを超える引張応力を有する。高い熱引張応力によって、基板のカールを引き起こし、これにより、後続の処理が困難となる。例えば、後続のプロセスには、化学機械平坦化、材料の堆積、および/またはチャンバ内でプロセスを実施するために基板を基板ホルダにクランプすること、が含まれることがある。しかしながら、これらのプロセスは、多くの場合、基板が平坦であることに依拠しており、カールした基板では、結果的に、不均一な処理となるか、または基板を処理することが不可能となる。アニールのように、他の材料の膜における応力を低減するための既存の方法はあるものの、タングステンは、その高融点のため、堆積後の粒子の移動または変化を可能とする表面移動度を有していない。
そのような信頼性および集積の問題、またはデバイス性能の問題を回避するために、フッ素フリータングステン(FFW)前駆体が有用である。現在のFFW前駆体として、有機金属前駆体が含まれるが、炭素、水素、窒素、および酸素のような、有機金属前駆体からの望ましくない微量の元素が、タングステン膜に取り込まれることもある。また、いくつかのフッ素フリー有機金属前駆体は、タングステン堆積プロセスにおける実施または統合が容易ではない。
本明細書に記載のいくつかの実現形態は、塩化タングステン(WClx)前駆体を用いたタングステンの堆積に関するものである。塩化タングステンとして、五塩化タングステン(WCl5)、六塩化タングステン(WCl6)、四塩化タングステン(WCl4)、二塩化タングステン(WCl2)、およびそれらの混合物が含まれる。本明細書における例では、例としてWCl5およびWCl6を挙げているが、開示される実施形態では、他の塩化タングステンを使用してよいと理解される。開示されるいくつか実施形態を用いて堆積される膜は、フッ素フリーのものである。開示されるいくつかの実施形態は、塩素含有タングステン前駆体と水素の交互のパルスを用いてバルクタングステンを堆積させることに関するものである。
WCl5およびWCl6による堆積は、塩化タングステンによる浸食の可能性があるので、WF6の場合にはない課題を提示する。塩化タングステンは、反応性がより低い結果として、WF6を用いた堆積よりも高温で堆積が実施される。蒸発したWCl6は、タングステン堆積チャンバ内に運ばれるのに十分に高い蒸気圧を有する。しかしながら、WCl6は、WCl5よりも基板を浸食する可能性が高くなり得る。WCl5は、基板を浸食する可能性はより低いものの、WCl5は、蒸気圧も、WCl6よりも高くなる。より低い蒸気圧は、抵抗率の低いタングステン膜を堆積させるのに有用であるが、いくつかの堆積オペレーションでは、ステップカバレッジが不十分であり得る。
また、本明細書に記載の方法は、塩化モリブデン前駆体またはオキシ塩化モリブデン前駆体によるモリブデン(Mo)の堆積のために用いてもよい。モリブデンは、低抵抗のメタライゼーションスタック構造を形成するために用いてよく、タングステンの代わりとなり得る。
開示される実施形態は、幅広く様々に応用できる。この方法は、タングステンまたはモリブデンをフィーチャ内に高ステップカバレッジで堆積させるために用いてよく、また、タングステンを3D NAND構造内に堆積させるために用いてもよい。
本明細書に記載の方法は、チャンバ内に収容され得る基板上で実施される。基板は、例えば、200mmウェハ、300mmウェハ、または450mmウェハであるシリコンまたは他の半導体のウェハであってよく、その上に堆積された誘電材料、導電材料、または半導体材料などの1つ以上の材料層を有するウェハが含まれる。この方法は、半導体基板に限定されることなく、タングステンのような金属によって任意のフィーチャを充填するために実施されてよい。
基板は、ビアまたはコンタクトホールのようなフィーチャを有するものであってよく、それらは、幅狭および/またはリエントラント型の開口部、フィーチャ内の狭窄部、高アスペクト比、のうちの1つ以上を特徴とするものであり得る。フィーチャは、上記の層のうちの1つ以上に形成されてよい。例えば、フィーチャは、誘電体層内に少なくとも部分的に形成されたものであり得る。いくつかの実施形態において、フィーチャは、少なくとも約2:1の、少なくとも約4:1の、少なくとも約6:1の、少なくとも約10:1の、少なくとも約25:1の、またはさらに高いアスペクト比を有し得る。フィーチャの一例は、半導体基板内または基板上の層内の孔もしくはビアである。
図1B〜1Hは、開示される実施形態に従って金属が堆積され得る種々の構造の概略例である。図1Bは、タングステンまたはモリブデンのような金属で充填される垂直フィーチャ101の断面図の一例を示している。フィーチャは、基板103内のフィーチャ孔105を含み得る。孔105または他のフィーチャは、開口付近の寸法として、約10nm〜500nmの間の、例えば約25nm〜約300nmの間の、例えば開口径またはライン幅を有し得る。フィーチャ孔105は、未充填フィーチャ、または単にフィーチャと呼ぶことができる。このようなフィーチャ101、および任意のフィーチャは、フィーチャの長さにわたって延びる軸118によって部分的に特徴づけられる場合があり、垂直向きのフィーチャは垂直軸を有し、水平向きのフィーチャは水平軸を有する。
いくつかの実施形態では、フィーチャは、3D NAND構造内のワードラインフィーチャである。例えば、基板は、少なくとも200Åの深さの垂直チャネルと共に、任意の数(例えば、50〜150)のワードラインを有するワードライン構造を含み得る。他の例は、基板内または層内のトレンチである。フィーチャは、任意の深さのものであってよい。種々の実施形態において、フィーチャは、バリア層または接着層のような下層を有し得る。下層の非限定的な例として、誘電体層および導電層が含まれ、例えば、シリコン酸化物、シリコン窒化物、シリコン炭化物、金属酸化物、金属窒化物、金属炭化物、および金属の層が含まれる。
図1Cは、リエントラント型プロファイルを有するフィーチャ101の一例を示している。リエントラント型プロファイルは、フィーチャの閉じた底端または内部からフィーチャ開口に向かって窄まるプロファイルである。いくつかの実現形態によれば、プロファイルは、徐々に窄まるもの、および/またはフィーチャ開口にオーバハングを含むものであり得る。図1Cは、後者の一例を示しており、フィーチャ孔105の側壁または内面を下層113によってライニングしている。下層113は、例えば、拡散バリア層、接着層、核形成層、それらの組み合わせ、または他のいずれかの適合材とすることができる。下層の非限定的な例として、誘電体層および導電層を含むことができ、例えば、シリコン酸化物、シリコン窒化物、シリコン炭化物、金属酸化物、金属窒化物、金属炭化物、および金属の層を含むことができる。具体的な実現形態では、下層は、チタン、窒化チタン、窒化タングステン、チタンアルミナイド、タングステン、モリブデンのうちの1つ以上とすることができる。いくつかの実施形態では、下層は、タングステンを含まないものである。いくつかの実施形態では、下層は、モリブデンを含まないものである。下層113は、オーバハング115を形成しており、これにより、下層113は、フィーチャ101の開口付近において、フィーチャ101内部よりも厚くなっている。
いくつかの実現形態では、フィーチャ内に1つ以上の狭窄部を有するフィーチャに充填する場合がある。図1Dは、狭窄部を有する種々のフィーチャが充填された図の例を示している。図1Dの例(a)、(b)、(c)のそれぞれは、フィーチャ内の中間点に狭窄部109を含んでいる。狭窄部109は、例えば、約15nm〜20nmの間の幅であり得る。従来の技術を用いたフィーチャ内へのタングステンまたはモリブデンの堆積の際に、狭窄部を越えた先の堆積を、フィーチャのその部分が充填される前に、堆積された金属が阻害することで、狭窄部はピンチオフの原因となることがあり、その結果、フィーチャ内にボイドが生じる。例(b)は、さらに、ライナ/バリアのオーバハング115を、フィーチャ開口に含んでいる。このようなオーバハングも、やはりピンチオフ点となる可能性があり得る。例(c)は、例(b)のオーバハング115よりもフィールド領域からさらに離れたところに狭窄部112を含んでいる。
また、3Dメモリ構造のような水平フィーチャを充填することもできる。図1Eは、狭窄部151を含む水平フィーチャ150の一例を示している。例えば、水平フィーチャ150は、3D NAND構造におけるワードラインであり得る。いくつかの実現形態では、狭窄部は、3D NANDまたは他の構造においてピラーが存在することによるものであり得る。例えば、図1Fは、(半導体基板103上に形成された)3D NAND(垂直NANDすなわちVNANDとも呼ばれる)構造110の側断面図を提示しており、これは、(左側125と右側126の)VNANDスタックと、中央垂直構造130と、中央垂直構造130の相対する両側壁140に開口部122を伴って積層された複数の水平フィーチャ120と、を有する。なお、図1Fは、提示した3D NAND構造110の、「トレンチ状」の中央垂直構造130を共に形成する2つの「スタック」を示しているが、いくつかの実施形態では、2つよりも多くの「スタック」が、互いに空間的に平行に延在するとともに順に並べて配置され得ることに留意すべきであり、このとき、隣接する「スタック」の各ペアの間の間隙は、図1Fに明示するような中央垂直構造130を形成する。この実施形態では、水平フィーチャ120は、中央垂直構造130から開口部122を通して流体アクセス可能な3Dメモリワードラインフィーチャである。図面には明示していないが、図1Fに示す両方の3D NANDスタック125および126(すなわち、左側の3D NANDスタック125および右側の3D NANDスタック126)内にある水平フィーチャ120は、(図示していないが、左寄りおよび右寄りに)追加される3D NANDスタックによって形成される同様の垂直構造を通して、スタックの他方の側(それぞれ左端側および右端側)からもアクセス可能である。すなわち、各々の3D NANDスタック125、126は、その3D NANDスタックの両側から中央垂直構造130を通して流体アクセス可能なワードラインフィーチャのスタックを含む。図1Fに概略的に示す具体例では、各々の3D NANDスタックは、6対の積層されたワードラインを含むが、他の実施形態では、3D NANDメモリレイアウトは、垂直に積層された任意の数のワードライン対を含んでよい。
3D NANDスタック内のワードラインフィーチャは、典型的には、シリコン酸化物層とシリコン窒化物層とを交互に重ねたスタックを堆積させてから、間隙を間に有する酸化物層のスタックを残して、窒化物層を選択的に除去することにより、形成される。これらの間隙は、ワードラインフィーチャである。ワードラインを形成するための利用可能な技術、ならびに垂直フィーチャの(概ね)ボイドフリーの充填を成功させるために利用可能な技術があれば、そのような3D NAND構造内に、任意の数のワードラインを垂直に積層してよい。従って、例えば、VNANDスタックは、2〜256の間の数の水平ワードラインフィーチャ、または8〜128の間の数の水平ワードラインフィーチャ、または16〜64の間の数の水平ワードラインフィーチャ、などを含み得る(列挙した範囲は、記載された端点を含むものと理解される)。
図1Gは、図1Fに側面図で示すのと同じ3D NAND構造110の、図1Fに水平破線で示すような水平セクション160の断面による、水平断面図を提示している。図1Gの断面は、ピラー155のいくつかの列を示しており、これらは、図1Fでは、半導体基板103の基点から3D NANDスタック110の頂部まで垂直に延びるように示されている。いくつかの実施形態では、これらのピラー155は、ポリシリコン材料で形成されて、3D NAND構造110にとって構造的かつ機能的に重要なものである。いくつかの実施形態では、そのようなポリシリコン製のピラーは、それらのピラー内に形成される積層メモリセルのゲート電極として機能し得る。図1Gの平面図は、ワードラインフィーチャ120への開口部122において、ピラー155が狭窄部を形成していることを示しており、すなわち、(図1Gに矢印で示すような)中央垂直構造130からワードラインフィーチャ120への開口部122を介した流体アクセス可能性が、ピラー155によって阻害されることを示している。いくつかの実施形態では、隣接するポリシリコンピラー間の水平間隙のサイズは、約1〜20nmの間である。このように流体アクセス可能性が低下することによって、タングステン材料でワードラインフィーチャ120を均一に充填することの難しさが増大する。ワードラインフィーチャ1の構造、およびそれらをタングステン材料またはモリブデン材料で均一に充填することの、ピラー155の存在による難しさについて、図1H、図1I、および図1Jにさらに示している。
図1Hは、図1Fに示すものと同様の3D NAND構造の垂直切断面を提示しているが、ただし、この場合は、1対のワードラインフィーチャ120のみに焦点を当てるとともに、さらに、充填後のワードラインフィーチャ120に結果的にボイド175が形成された金属充填プロセスを概略的に示している。また、ボイド175を、図1Iにも概略的に示しているが、ただし、この図面では、図1Gに提示している水平切断面と同様に、ピラー155の水平切断面によって示している。図1Jは、狭窄形成ピラー155の周りでのタングステンまたはモリブデン材料の滞留を示しており、この滞留の結果として、開口部122のピンチオフが生じることで、ボイド175の領域にさらなるタングステンまたはモリブデン材料を堆積させることはできなくなる。図1Hおよび図1Iから明らかなのは、ボイドフリーのタングステンまたはモリブデンの充填は、開口部122のピンチオフの原因となってワードラインフィーチャ120内へのさらなる前駆体の移動を妨げるタングステンがピラー155の周りで滞留堆積しないうちに、十分な量の堆積前駆体が、垂直構造130を通って、開口部122を通り、狭窄形成ピラー155を通り過ぎて、ワードラインフィーチャ120の最も奥へと移動することに依拠するということである。同様に、図1Jは、上から断面的に見た1つのワードラインフィーチャ120のみを提示しており、タングステンまたはモリブデン材料の概ねコンフォーマルな堆積によって、ピラー155のかなりの幅が、さもなければワードラインフィーチャ120に貫通する開路であろうものを、部分的に閉塞および/または狭小および/または狭窄させるように作用することに起因して、ワードラインフィーチャ120の内部のピンチオフが始まる様子を示している。(留意すべきことは、図1Jの例は、図1Iに示すピラー狭窄部の構造の3Dフィーチャを2Dレンダリングしたものと理解することができ、従って、断面図ではなく平面図で見られるであろう狭窄部を示しているということである。)
3次元構造は、最も奥底の領域の充填を可能とするためには、前駆体へのより長時間かつ/またはより集中的な暴露が必要となり得る。3次元構造は、WClx前駆体を採用する場合に、その浸食の傾向によって、より長時間かつより集中的な暴露では、構造の部分によっては、より多くの浸食が起こり得るので、特に困難である可能性がある。これらの課題は、塩化モリブデン前駆体の場合にも存在し得る。
水平向きおよび垂直向きのフィーチャの場合のフィーチャ充填の例について、以下で説明する。なお、それらの例は、多くの場合、水平向きまたは垂直向きのフィーチャのどちらにも適用可能であることに留意すべきである。さらに、以下の説明において、「横方向」という用語は、フィーチャ軸に略垂直な方向を指して、「垂直方向」という用語は、フィーチャ軸に略沿った方向を指して使用され得ることにも留意すべきである。
種々の実施形態によれば、以下で記載する方法は、1回のALDサイクル内で複数回の還元剤/パージサイクルおよび/または複数回の金属前駆体/パージサイクルに構造を暴露することを伴う。いくつかの実現形態では、以下の優位点のうちの1つ以上を実現してよい。いくつかの実施形態では、パージガスパルスを間に組み入れた複数回のタングステン含有前駆体ドーズ(Wはタングステン含有前駆体のパルスを表し、Arはアルゴンパージガスのパルスを表すとして、例えば、W/Ar/W/Ar/W/Ar/W/Ar)によって、3D NANDワードライン構造内または充填が困難な他の構造内の奥深くまで、タングステン含有前駆体を送り込むことが可能となる。いくつかの実現形態では、以下の優位点のうちの1つ以上を実現してよい。いくつかの実施形態では、パージガスパルスを間に組み入れた複数回のモリブデン含有前駆体ドーズ(Moはモリブデン含有前駆体のパルスを表し、Arはアルゴンパージガスのパルスを表すとして、例えば、Mo/Ar/Mo/Ar/Mo/Ar/Mo/Ar)によって、3D NANDワードライン構造内または充填が困難な他の構造内の奥深くまで、モリブデン含有前駆体を送り込むことが可能となる。
それぞれの金属含有パルスの後の不活性ガスパージパルスによって、金属含有前駆体の1原子層が構造の全体に吸着されることが確保できる。いくつかの実施形態では、パージガスがそれぞれ後に続く複数回のパルスによって、金属含有前駆体の複数の原子層が、よりアクセスしやすい構造の部分に蓄積しないことが確保される。これにより、構造の望ましくない浸食を防ぐことができる。いくつかの実施形態では、交互のパルスを用いることによって、各パルスの前に金属前駆体槽の加圧が可能となる。これにより、フィーチャの底への流束を促進することができる。いくつかの実施形態では、パージガスパルスを間に組み入れた複数回の還元剤ドーズパルス(H2は還元剤であり、Wはタングステン含有前駆体のパルスを表し、Arはアルゴンパージガスのパルスを表すとして、例えば、H2/Ar/H2/Ar/W/Ar/W/Ar、または、Moはモリブデン含有前駆体のパルスを表すとして、H2/Ar/H2/Ar/Mo/Ar/Mo/Ar)によって、吸着した塩化物と還元剤が反応するのに十分な時間が得られるとともに、反応生成物(例えば、HCl)が表面から離れて、次のパルスにおける還元剤のための場所を空けることが可能となる。
以下の説明では、タングステンによるフィーチャ充填およびモリブデンによるフィーチャ充填に焦点を当てているが、本開示の態様は、他の材料によるフィーチャ充填において実施されてもよい。例えば、本明細書に記載の1つ以上の技術を用いたフィーチャ充填を、他のタングステン含有材料(例えば、窒化タングステン(WN)、炭化タングステン(WC))、チタン含有材料(例えば、チタン(Ti)、窒化チタン(TiN)、チタンシリサイド(TiSi)、炭化チタン(TiC)、チタンアルミナイド(TiAl))、タンタル含有材料(例えば、タンタル(Ta)、窒化タンタル(TaN))、ニッケル含有材料(例えば、ニッケル(Ni)、ニッケルシリサイド(NiSi))など、他の材料によってフィーチャを充填するために用いてもよい。さらに、本明細書に開示の方法および装置は、フィーチャ充填に限定されることなく、平坦面上にブランケット膜を形成するためなど、任意の適切な表面上にタングステンを堆積させるために用いることができる。
図2A〜2Cは、開示される実施形態に従って実施される方法についてのプロセスフロー図を提示している。以下で説明するように、この方法は、タングステンによって基板上の構造を充填するために実施される。構造の例については、図1B〜1Hを参照して上記で説明している。
温度は、採用する化学物質に応じて異なり得る。WClxおよびWOxCl4前駆体の場合、開示されるいくつかの実施形態は、約525℃など、約400℃〜約600℃の間の基板温度で実施されてよい。基板温度とは、基板を保持するペデスタルで設定される温度を意味する。
MoClxおよびMoOxCly前駆体の場合、開示されるいくつかの実施形態は、約525℃など、約400℃〜約600℃の間の基板温度で実施されてよい。基板温度とは、基板を保持するペデスタルで設定される温度を意味する。
開示されるいくつかの実施形態は、約3トル〜約60トルの間のチャンバ圧力で実施されてよい。いくつかの実施形態では、WClx前駆体を用いたタングステン堆積の場合のチャンバ圧力は、5トル〜20トルの間であり、例えば10トルである。
図2Aを参照して、オペレーション200では、タングステンまたはモリブデンで充填すべき構造を、還元剤パルスに暴露する。いくつかの実施形態では、還元剤パルスは、水素(H2)である。シラン、ボラン、ゲルマン、ホスフィン、水素含有ガス、およびそれらの組み合わせなど、他の還元剤を用いてもよい。種々の実施形態において、バルクタングステン堆積またはバルクモリブデン堆積は、還元剤として水素を用いて実施される。他の反応物質を流入させることなく、還元剤はパルス化される。いくつかの実施形態では、キャリアガスを流入させてよい。いくつかの実施形態において、オペレーション200では、窒素(N2)、アルゴン(Ar)、ヘリウム(He)、または他の不活性ガスのようなキャリアガスを流入させてよい。
オペレーション200は、任意の適切な継続時間にわたって実施してよい。継続時間の例として、約0.25秒〜約30秒の間の、約0.25秒〜約20秒の間の、約0.25秒〜約5秒の間の、または約0.5秒〜約3秒の間の、継続時間が含まれる。
オペレーション202では、基板表面に吸着しなかった余分な水素を除去するために、チャンバをパージする。パージは、一定の圧力で不活性ガスを流入させることによりチャンバの圧力を低下させ、そして別の反応ガスへの暴露を開始する前にチャンバを再加圧することによって、実施されてよい。不活性ガスの例として、窒素(N2)、アルゴン(Ar)、ヘリウム(He)、およびそれらの混合物が含まれる。パージは、約0.25秒〜約30秒の間の、約0.25秒〜約20秒の間の、約0.25秒〜約5秒の間の、または約0.5秒〜約3秒の間の、継続時間にわたって実施されてよい。
次に、オペレーション200および202を、k回実行されるまで繰り返し、この場合、kは、少なくとも21である整数である。一例では、オペレーション200の継続時間は、0.5秒であってよく、還元剤パルスの合間のオペレーション202の継続時間は、1秒であってよい。k=10の場合、タングステン前駆体の合計時間は、0.5×10=5秒であり、パージの合計時間は、1×10=10秒である。
オペレーション204では、タングステン前駆体またはモリブデン前駆体に基板を暴露する。前駆体の例として、塩化タングステンおよびオキシ塩化タングステンのような塩素含有タングステン前駆体が含まれる。xは、2、3、4、5、または6など、2および6を含んで2〜6の間の整数であるとして、塩化タングステンは、WClxであり得る。例として、WCl5およびWCl6が含まれる。塩素含有タングステン前駆体は、WClx化合物の混合物を含み得る。xおよびyは0より大きい数であるとして、オキシ塩化タングステンは、WOxClyを含む。モリブデンを堆積させるためには、塩化モリブデンおよびオキシ塩化モリブデンなどのモリブデン前駆体を用いる。これらには、五塩化モリブデン(MoCl5)、二塩化二酸化モリブデン(MoO2Cl2)、四塩化酸化モリブデン(MoOCl4)が含まれる。
いくつかの実施形態において、オペレーション206では、窒素(N2)、アルゴン(Ar)、ヘリウム(He)、または他の不活性ガスのようなキャリアガスを流入させてよい。
オペレーション204は、任意の適切な継続時間にわたって、任意の適切な温度で実施してよい。いくつかの例では、オペレーション206は、約0.25秒〜約30秒の間の、約0.25秒〜約20秒の間の、約0.25秒〜約5秒の間の、または約0.5秒〜約3秒の間の、継続時間にわたって実施されてよい。このオペレーションは、いくつかの実施形態では、基板表面上の活性点を飽和させるのに十分な継続時間にわたって実施されてよい。いくつかの実施形態では、前駆体は、ドーズ前のガスライン充填およびライン変更のために分流させてよい。キャリアガスは、オペレーション202に関して上述したもののいずれかであり得る。
種々の実施形態によれば、オペレーション204では、いくらかのWClxが、オペレーション200から表面上に残っているH2と反応することがあり、いくらかのWClxは、表面上に残っていたH2と完全には反応しないことがある。また、種々の実施形態において、いくらかのH2は、WClxと全く反応しないこともあり、WClxは、その代わりに、基板表面上に物理吸着したH2または留まっているH2がない場合の基板表面上に、物理吸着し得る。いくつかの実施形態では、H2は、基板表面上に留まり得るものの、表面に物理吸着または化学吸着されないことがある。図2Aのオペレーション204は、これにより、いくつかの実施形態において、タングステンのサブ原子層を形成し得る。同様に、オペレーション204は、いくつかの実施形態において、モリブデンのサブ原子層を形成し得る。
フィーチャの表面上の水素または他の還元剤と反応しなかった、まだ気相のままである余分な前駆体をパージするために、オペレーション206で、パージを実施する。パージは、一定の圧力で不活性ガスを流入させることによりチャンバの圧力を低下させ、そして別のガスへの暴露を開始する前にチャンバを再加圧することによって、実施されてよい。
任意の適切な継続時間にわたって、チャンバをパージしてよい。約0.25秒〜約30秒の間の、約0.25秒〜約20秒の間の、約0.25秒〜約5秒の間の、または約0.5秒〜約3秒の間の、継続時間にわたって、チャンバをパージしてよい。いくつかの実施形態では、パージ継続時間は、約0.1秒〜約2秒の間であり、このパージ継続時間によれば、タングステン表面へのWClxの吸着率が低いことによって基板表面からWClxまたは他の前駆体がすべて除去されることを、回避し得る。いくつかの実施形態では、パージ継続時間は、約0.1秒〜約15秒の間であり、例えば約7秒である。例えば、3D NAND構造の製造の場合に、チャンバは、オペレーション206において、約2秒間パージされてよい。パージガスは、オペレーション202に関して上述したガスのいずれかであり得る。
次に、オペレーション204および206を、n回実行されるまで繰り返し、この場合、nは、少なくとも2である整数である。以下でさらに説明するように、パージによって区切られた複数回のタングステンパルスを堆積シーケンスで実行することによって、構造の頂部およびエッジにおける望ましくない浸食が減少し得る。一例では、オペレーション204の継続時間は、0.7秒であってよく、タングステン前駆体パルスの合間のオペレーション206の継続時間は、2秒であってよい。n=10の場合、タングステン前駆体の合計時間は、0.7×10=7秒であり、パージの合計時間は、2×10=20秒である。
オペレーション208では、タングステン層またはモリブデン層が適切な厚さに堆積されたかどうか判断する。もしそうでない場合は、所望の厚さのタングステン層またはモリブデン層がフィーチャの表面に堆積されるまで、オペレーション200〜206を繰り返す。オペレーション200〜206の各繰り返しは、「サイクル」と呼ばれることがある。いくつかの実施形態では、還元剤を導入するよりも前にタングステン前駆体またはモリブデン前駆体を導入するように、オペレーション200/202と204/206の順序を逆にしてよい。
図2Bは、各ALDサイクルに1回のみの還元剤パルスおよび複数回のタングステン前駆体パルスまたはモリブデン前駆体パルスを含むことで、そのサイクル中にオペレーション200および202は繰り返されない実施形態についての、プロセスフロー図を提示している。図2Cは、各ALDサイクルに1回のみのタングステン前駆体パルスまたはモリブデン前駆体パルスおよび複数回の還元剤パルスを含むことで、そのサイクル中にオペレーション204および206は繰り返されない実施形態についての、プロセスフロー図を提示している。図2Bおよび図2Cにおけるプロセスブロックは、それ以外は、図2Aに関して上述した通りである。
図3は、H2およびWClxを用いてタングステンを堆積させるプロセスにおける例示的な堆積サイクル311Aおよび311Bを示すタイミングシーケンス図を提示している。図3は、図2A〜2Cのオペレーション200の実施形態の一例である堆積サイクル311AにおけるH2パルスを示している。図3の例では、H2パルスの最中に、Ar流およびWClx流をオフにする。他の実施形態では、還元剤パルスの最中に、パージガスの流入を継続してよい。いくつかの実施形態において、還元剤パルスの最中にパージガスをオフにすることは、還元剤への暴露を増やすために有効である。H2の直後に、Arパルスを示している。これは、図2A〜2Cのオペレーション202の実施形態の一例である。パージパルスの最中に、H2流およびWClx流をオフにする。符号320に点線ボックスで、任意の数のH2パルス/Arパージパルスを示しており、この場合、これらは、タングステン前駆体パルスを間に挟むことなく実行される。これは、図2Aおよび図2Cのオペレーション200および202の実施形態の一例である。5つのH2/Arパルスシーケンスを示しているが、H2/Arパルスシーケンスの数は、上述のように1〜kの範囲であることが可能である。符号340に点線ボックスで、繰り返されるWClxパルス/Arパージパルスを示しており、この場合、これらは、還元剤パルスを間に挟むことなく実行される。これは、図2Aおよび図2Bのオペレーション204および206の実施形態の一例である。WClxパルスの最中には、Arバルブは閉止され、Arパルスの最中には、WClxバルブは閉止される。このプロセスの全体を通して、水素流はオフにされる。4つのWClx/Arパルスシーケンスを示しているが、H2/Arパルスシーケンスの数は、上述のように1〜nの範囲であることが可能である。
留意すべきことは、いくつかの実施形態では、H2パルスおよび/またはWClxパルスの最中に、Ar流はオンのままであってよいということであり、このとき、H2流およびWClx流を伴わないことを特徴とするARパルスを用いるとともに、さらに、いくつかの実施形態では、増加させたAr流を用いる。しかしながら、タングステン前駆体パルスの最中には、(希釈されて供給され得る)タングステン前駆体ガスのさらなる希釈を避けるために、パージガスをオフにすることが効果的であり得る。3D NAND構造の充填は、ウェハにおけるW濃度がより高いことが有効であり、それが希釈されると、結果的に充填が不十分となる。さらに、タングステン前駆体パルスの最中に、パージガスをオフにするとともにパージマニホールドを加圧することによって、Wパルスを間に挟んでパージ流を継続するよりもチャンバの効率的なパージが可能となる。同様に、還元剤パルスの最中に、還元剤ガスの希釈を避けるために、パージガスをオフにすることが効果的な場合がある。図3に示すように、Arパルスによって、堆積サイクル311Aを終わらせる。
図3の例には例示目的でWClxを示しているが、図3のタイミングシーケンスは、オキシ塩化タングステン前駆体ならびに塩化モリブデン前駆体およびオキシ塩化モリブデン前駆体など、他の前駆体の場合に用いてもよい。同様に、ArおよびH2の代わりに、他のパージガスおよび/または他の還元剤を用いてよい。
図2A〜2Cに記載のプロセスおよび図3を参照して説明したパルスシーケンスは、パルスシーケンスの一例である。実施し得る様々な変形があることは、当業者であれば理解できるであろう。例えば、いくつかの実施形態では、上述のように、異なるパージガスを用いてよい。同様に、記載のプロセスは、塩素含有タングステン前駆体またはモリブデン前駆体の場合に、高濃度では基板を浸食する傾向があることから、特に有用であるが、このプロセスは、フッ素含有W前駆体およびMo前駆体など、他の前駆体および材料を用いて構造を充填する場合に用いてもよい。特に、このプロセスは、高アスペクト比および/または3次元の構造の充填の場合に効果的であり得る。
図4A〜4Jは、WCl6からのタングステン堆積における堆積サイクルの例示的な機構についての概略図である。図4Aは、その上に下層401が堆積されている基板400に、H2を取り込む場合の例示的な機構を示している。下層401は、いくつかの実施形態ではバリア層であり得る。例えば、いくつかの実施形態では、下層401は、窒化チタン(TiN)層である。なお、いくつかの実施形態では、基板400は、タングステン核形成層を含まないことに留意すべきである。他の実施形態では、それは、タングステン核形成層を含み得る。水素は気相(411aおよび411b)で導入されて、いくらかのH2(413aおよび413b)は、下層401の表面上にあり、この場合、水素は、金属表面(例えば、H2)上で化学的に活性な吸着水素原子に触媒解離するか、または触媒能のない表面(例えば、TiN)上に物理吸着することがある。例えば、H2は、必ずしも下層401に化学吸着しないことがあるが、いくつかの実施形態では、下層401の表面に物理吸着することがある。
図4Bは、これまで気相であったH2(図4Aの411aおよび411b)がチャンバからパージされ、これまで表面上にあったH2(443aおよび413b)が下層401の表面に留まることを示す例を提示している。
図4Cは、基板がWCl6に暴露されて、WCl6のいくらかは気相であり(431aおよび431b)、WCl6のいくらかは基板の表面またはその付近にある(423aおよび423b)ことを示す概略例を提示している。
オペレーション200では、いくらかのH2が、前のドーズから表面上に残っているWCl6と反応することがある。図4Dでは、WCl6がH2と反応し得ることで、中間体443bを一時的に形成し、これにより図4Eで、中間体443bが完全に反応することにより、基板400の表面上で下層401の上にタングステン490が残り、さらにHClが気相で残る(例えば、451aおよび451b)。この例では、タングステンを堆積させる前に、核形成層を堆積させることなく、かつ下層401を処理することなく、タングステン490を下層401の上に直接成長させることに留意すべきである。いくつかの実施形態では、水素または塩素含有タングステン前駆体に下層401を暴露する前に、下層401は、ジボランに暴露することなどにより、ソーク処理を施してよいことは理解されるであろう。いくつかの例では、下層401は、後のWClx/H2への暴露の際に犠牲反応が可能な固体Si−B−H界面層を形成するために、SiH4、B26、およびH2の混合物で事前処理されたものであってよい。Si−B−H層の化学量論組成は、低い(10%)ボロン濃度から高い(95%)ボロン濃度までで、大きく異なり得る。
図2A〜2Cのオペレーション200では、いくらかのH2が、前のドーズから表面上に残っているWCl6(または他の塩化タングステン)と完全には反応しないことがある。図4Dに示すように、WCl6がH2と部分的に反応し得ることで、中間体443aを形成し、これにより図4Eで、中間体443aが部分的に反応したまま、基板400の表面上で下層401の上に残る。種々の実施形態において、塩素含有タングステン前駆体および水素を用いて堆積させた膜は、堆積厚さが最大で約50Åの場合、ボラン、シラン、またはゲルマンを用いて堆積させた膜よりも、抵抗率が低い。例えば、特定の理論にとらわれることなく、WCl6の化学量論では、少なくとも3つのH2分子を用いて、1つのWCl6分子と反応し得る。WCl6は、タングステンを形成するのではなく、H2分子と部分的に反応して、中間体を形成する可能性がある。例えば、これは、化学量論の原理(例えば、3つのH2分子を用いて、1つのWCl6分子と反応する)に基づいて、WCl6と反応するのに十分なH2が近傍にない場合に生じることがあり、これにより、基板表面上に中間体343aが残る。塩化タングステンは、H2分子に対して化学的に不活性であり得るとともに、H2分子の触媒解離によって生成される吸着水素原子の存在を必要とし得る。この場合、塩化タングステンを金属タングステンに完全に還元するためには、単純な化学量論比を大幅に超過するH2分子が必要になることがある(100対1のH2分子/WClx比)。
図4Fは、チャンバをパージするときの基板の概略例を提示している。これは、図2A〜2Cのオペレーション202に相当し得る。なお、図4Fの化合物443cは、完全に反応することなく形成された中間体であり得る一方、いくらかのタングステン490が基板上に形成され得ることに留意すべきである。各サイクルは、これにより、基板上にタングステンのサブ原子層を形成する。
一例として、図4Gは、サイクルを繰り返したときの図を示しており、これにより、気相のH2411cが、堆積されたタングステン490および部分的に反応した中間体443dをその上に有する基板に取り込まれる。これは、オペレーション208においてタングステンが適切な厚さに堆積されていないと判断した後の、繰り返しサイクルにおける図2A〜2Cのオペレーション200に相当し得る。図4Gに示すように、取り込まれたH2は、このとき、基板上の中間体443dと完全に反応し得ることで、図4Hに示すように、反応した化合物443dによって、堆積されたタングステン490bおよび490cが残され、そして副生成物であるHCl 451cおよび451dが気相で形成されることに留意すべきである。いくらかのH2411cが気相のまま残り得る一方、いくらかのH2413cがタングステン層490aの上に残り得る。
図4Iでは、チャンバをパージし(これは、図2A〜2Cのオペレーション202に相当する)、堆積されたタングステン490a、490b、490cと、いくらかのH2413cが残される。図4Jでは、再びWCl6をドーズで導入し、このとき、分子431cと423cは、H2および基板に、吸着および/または反応し得る。図4Jは、図2A〜2Cのオペレーション204に相当し得る。WCl6のドーズの後に、再びチャンバをパージしてよく、そして、所望の厚さのタングステンが堆積されるまで、再びサイクルを繰り返してよい。
開示されるいくつかの実施形態を用いて堆積されたタングステン膜は、フッ素を含有しない。また、モリブデン膜も、フッ素を含有しないことがある。膜全体の引張応力は、約0.2GPa未満であり得る。フッ素フリータングステン前駆体として、カルボニルタングステン(W(CO)6)と、五塩化タングステン(WCl5)および六塩化タングステン(WCl6)のような塩化タングステン(WClx)を含み得る。フッ素フリーモリブデン前駆体として、本明細書に記載のオキシ塩化モリブデン前駆体および塩化モリブデン前駆体、ならびにヘキサカルボニルモリブデン(Mo(CO)6)が含まれる。
開示される実施形態は、タングステンおよびモリブデンの堆積プロセスにおいて様々に応用されてよい。タングステンまたはモリブデンを堆積させるために、本明細書に記載の応用の様々な組み合わせを用いてよく、これらの方法は、本明細書で提示する例に限定されないことは、理解されるであろう。
例えば、いくつかの実施形態では、還元剤(例えば、ボラン、シラン、または水素を有するゲルマン)とWCl6のようなタングステン前駆体の交互のパルスのALDサイクルによってタングステン核形成層を堆積させ、続いて、図2および図3に関して上述したように水素と塩素含有タングステン前駆体の交互のパルスによってバルクタングステンを堆積させることにより、フィーチャを充填してよい。同様に、いくつかの実施形態では、還元剤とモリブデン前駆体の交互のパルスのALDサイクルによってモリブデン層を堆積させることにより、フィーチャを充填してよい。塩化タングステン前駆体と還元剤である水素の交互のパルスを用いるプロセスによるバルクタングステンの堆積は、反応物質の交互のパルスによるため、ALDプロセスと呼ばれることがある。本明細書に記載の方法は、典型的には、CVD機構からの成長成分がないことを確保するために、反応物質サイクルの合間にパージを伴う。
一方、いくつかの実施形態では、これらの方法を、米国特許出願公開第2017/0117155号および米国特許第9613818号に記載されているような「順次CVD」プロセスと併せて用いてよく、これらの文献は両方とも、参照により本明細書に組み込まれる。
1つの堆積サイクルにおいて、交互の前駆体パルスとパージガスパルスの複数のサイクルを採用することによって、構造の頂部および側縁における浸食を最小限に抑えつつ、3D NANDワードラインの奥深くまで前駆体を送り込むことができる。前駆体の1原子層またはサブ原子層を、構造の全体に吸着させることができる。いくつかの実施形態では、交互の前駆体パルスとパージパルスを用いることによって、前駆体ドーズの供給前に、前駆体槽の加圧が可能となる。その結果として、より良好に構造内に運ぶことが可能となる。
表1は、n=10として、H2/Ar/WClx/ArシーケンスおよびH2/Ar/n(WClx/Ar)シーケンスに暴露された300ÅのPVD TiNブランケット膜についての、条件および結果としてのTiN下層の浸食を示している。
Figure 2021523292
表1の条件と結果は、タングステン前駆体への総暴露量が同じである場合に、タングステン前駆体の複数回のパルスによって浸食されるTiNの量は、大幅に少ないことを示している。特に、1回のタングステン前駆体パルスを用いるシーケンスの場合、TiNはすべて浸食された。
図5は、H2/Ar/WClx/Arシーケンスを用いた場合と、H2/Ar/n(WClx/Ar)シーケンスを用いた場合の、3D NAND構造のタングステン充填を比較した実験結果の概略図を示している。これらのシーケンスの前に、それぞれの構造内に核形成層を堆積させた。図5の図は、3D NAND構造の中央セクションの断面を表している。3D NAND構造503は、H/Ar/WCl/Arシーケンスの後に画像化されたものであり、511に示すように、中央のワードラインにおける不十分なタングステン堆積を示している。n=10として、H/Ar/n(WCl/Ar)に暴露された3D NAND構造503は、その全体に均一なタングステン堆積が観測される。タングステン前駆体へのトル−秒での総暴露量は、501と503の両方で同じであった。
n回のタングステンパルスのそれぞれは短時間であり、一定の圧力で供給されてよい。タングステン前駆体の総量は、タングステン前駆体が構造の底に達するとともに構造全体を横方向に被覆するのに十分な材料を供給するのに十分な量である。間に挟む不活性ガスパージによって、構造の頂部およびエッジにおけるタングステン前駆体を除去してよく、基板の浸食が低減または解消される。不活性ガスパージが十分でないと、この有益な効果は観測されないことがある。さらに、複数回のタングステンパルスの合間のパージが不十分な場合に、開口周辺におけるピンチ効果が観測されることがある。
従って、いくつかの実施形態では、不活性ガスパージの継続時間は、タングステン前駆体またはモリブデン前駆体の継続時間と少なくとも同じ長さである。いくつかの実施形態では、不活性ガスパージは、タングステン前駆体パルスまたはモリブデン前駆体パルスの継続時間の少なくとも1.5倍、または少なくとも2倍、または少なくとも3倍である。また、前駆体対パージガスの暴露量は、分圧×継続時間によって特徴付けられてもよい。前駆体は流量の1%〜5%に希釈されるのに対して、パージは100%パージガスを用いて実施されてよい。
上記の説明は、塩化タングステンからのタングステン層およびタングステン含有層の堆積に焦点を当てているが、図2および図3を参照して上述したプロセスは、他の前駆体および/または他の材料を用いたフィーチャ充填で実施されてよい。上述のように、この方法は、構造を浸食する可能性のある化学物質の場合に効果的である。さらに、複数回の前駆体パルス/パージサイクルを含む方法は、未吸着分子をパージすることにより、CVD型の特性を伴わない成長を得るために効果的である場合がある。
開示される実施形態は、約10トル超の圧力、または約10トル未満の圧力など、任意の適切な圧力で実施してよい。マルチステーション・チャンバの場合、各ペデスタルは、異なる温度に設定されてよい。いくつかの実施形態では、各ペデスタルは、同じ温度に設定される。開示の実施形態による上述のオペレーションのいずれかの一部またはすべての際に、基板をステーションからステーションへと巡回させてよい。また、開示されるいくつかの実施形態における1つ以上のオペレーションにおいて、チャンバ圧力を変調させてもよい。いくつかの実施形態では、核形成堆積中のチャンバ圧力は、バルク堆積中のチャンバ圧力とは異なる。いくつかの実施形態では、核形成堆積中のチャンバ圧力は、バルク堆積中のチャンバ圧力と同じである。
[装置]
開示される実施形態を実施するために、任意の適切なチャンバを使用してよい。堆積装置の例として様々なシステムが含まれ、例えば、カリフォルニア州フリーモントのラムリサーチ社(Lam Research Corp.)から入手可能なALTUS(登録商標)およびALTUS(登録商標)Max、または他の様々な市販の処理システムのいずれかが含まれる。いくつかの実施形態において、順次化学気相成長(CVD)は、シングル成膜チャンバ内に配置された2つ、5つ、またはさらに多くの成膜ステーションのうちの1つである第1のステーションで実施されてよい。その場合、第1のステーションにおいて、例えば、水素(H2)と六塩化タングステン(WCl6)を、交互のパルスで、基板表面で局所雰囲気を生成する個々のガス供給システムを用いて半導体基板の表面に導入してよい。フッ素フリータングステン堆積、またはCVDのために、他のステーションを使用してよい。並行処理でタングステンを堆積させるために、2つ以上のステーションを使用してよい。あるいは、順次CVDオペレーションが、2つ以上のステーションに跨って順次実行されるように、ウェハにインデックスを付けてよい。
図6は、いくつかの実施形態に従って堆積プロセスを実施するのに適した処理システムの概略図である。システム600は、搬送モジュール603を備える。搬送モジュール603は、処理されている基板を様々な反応器モジュールの間で移送するときの基板の汚染のリスクを最小限に抑えるための、クリーンな加圧環境を提供する。種々の実施形態によるALDおよびCVDを実施することが可能なマルチステーション反応器609が、搬送モジュール603に取り付けられている。マルチステーション反応器609は、開示される実施形態に従ってオペレーションを順次実行し得る複数のステーション611、613、615、617を有し得る。例えば、マルチステーション反応器609は、ステーション611で塩素含有タングステン前駆体またはフッ素含有前駆体を用いてPNLによるタングステン核形成層堆積を実施し、ステーション613で種々の実施形態に従ってALDによるタングステン堆積オペレーションを実施するように、構成されてよい。また、いくつかの実施形態では、ステーション615でもALDによるタングステン堆積オペレーションを実施してよく、ステーション617で非順次CVDオペレーションを実施してよい。いくつかの実施形態において、タングステン前駆体パルスの数(n)は、ステーション613〜615によって異なり得る。
これらのステーションは、加熱されるペデスタルまたは基板サポートと、1つ以上のガス入口またはシャワーヘッドまたは分散板と、を有し得る。成膜ステーション700の一例を、図7に示しており、これは、基板サポート702とシャワーヘッド703とを有する。ペデスタル部701に、ヒータを設けてよい。
図6を再び参照して、さらに、プラズマもしくは化学的(非プラズマ)プレクリーニング、他の堆積オペレーション、またはエッチングオペレーションを実施することが可能な1つ以上のシングルもしくはマルチステーションモジュール607を、搬送モジュール503に取り付けてもよい。また、このモジュールは、例えば堆積プロセスのための基板を準備するために、様々な処理に用いてもよい。システム600は、さらに、処理前および処理後のウェハを格納する1つ以上のウェハソースモジュール601を備える。大気搬送チャンバ619内の大気ロボット(図示せず)によって、まず、ウェハをソースモジュール601からロードロック621に取り出してよい。搬送モジュール603内のウェハ搬送装置(一般的には、ロボットアームユニット)によって、ウェハを、ロードロック621から、搬送モジュール603に取り付けられたモジュールへ、さらには搬送モジュール603に取り付けられたモジュール間で、移送する。
種々の実施形態において、堆積中のプロセス条件を制御するために、システムコントローラ629を採用する。コントローラ629は、典型的には、1つ以上のメモリデバイスと、1つ以上のプロセッサとを備える。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッピングモータ・コントローラボード、などを含み得る。
コントローラ629は、堆積装置の動作のすべてを制御し得る。システムコントローラ629は、特定のプロセスのタイミング、ガスの混合、チャンバ圧力、チャンバ温度、ウェハ温度、高周波(RF)電力レベル、ウェハチャックまたはペデスタルの位置、および他のパラメータを制御するための命令セットを含むシステム制御ソフトウェアを実行する。コントローラ629に関連付けられたメモリデバイスに格納される他のコンピュータプログラムを、いくつかの実施形態において採用してよい。
典型的には、コントローラ629に関連付けられたユーザインタフェースが設けられる。ユーザインタフェースは、ディスプレイ画面と、装置および/またはプロセス条件のグラフィックソフトウェア表示と、さらに、ポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含み得る。
システム制御ロジックは、任意の適切な方法で構成されてよい。一般に、ロジックは、ハードウェアおよび/またはソフトウェアで設計または構成することができる。駆動回路を制御するための命令は、ハードコーディングされるか、またはソフトウェアとして提供されてよい。それらの命令は、「プログラミング」によって提供されてよい。そのようなプログラミングは、デジタル信号プロセッサ、特定用途向け集積回路、および他のデバイスにおいてハードコーディングされたロジックであって、ハードウェアとして実現された特定のアルゴリズムを有するものなど、任意の形態のロジックを含むものと理解される。プログラミングは、さらに、汎用プロセッサ上で実行され得るソフトウェアまたはファームウェア命令を含むものと理解される。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコーディングされてよい。
プロセスシーケンスにおけるゲルマニウム含有還元剤パルス、水素流、およびタングステン含有前駆体パルス、ならびに他のプロセスを制御するためのコンピュータプログラムコードは、例えば、アセンブリ言語、C、C++、パスカル、フォートランなど、通常のコンピュータ可読プログラミング言語のいずれかで作成することができる。コンパイルされたオブジェクトコードまたはスクリプトをプロセッサにより実行することで、プログラムで示されるタスクが実行される。さらに、上述のように、プログラムコードは、ハードコーディングされてもよい。
コントローラパラメータは、例えば、処理ガスの組成および流量、温度、圧力、冷却ガス圧力、基板温度、チャンバ壁温度、などのプロセス条件に関するものである。これらのパラメータは、レシピの形でユーザに提供され、ユーザインタフェースを利用して入力され得る。
プロセスを監視するための信号を、システムコントローラ629のアナログおよび/またはデジタル入力接続によって供給してよい。プロセスを制御するための信号は、堆積装置600のアナログおよびデジタル出力接続に出力される。
システムソフトウェアは、多くの異なる方法で設計または構成されてよい。例えば、開示した実施形態による堆積プロセスを実施するために必要なチャンバ構成要素の動作を制御するための、各種チャンバ構成要素サブルーチンまたは制御オブジェクトが作成されてよい。この目的のためのプログラムまたはプログラム部分の例には、基板位置決めコード、処理ガス制御コード、圧力制御コード、およびヒータ制御コードが含まれる。
いくつかの実現形態において、コントローラ629は、上述の例の一部であり得るシステムの一部である。そのようなシステムは、処理ツールまたはツール(群)、チャンバまたはチャンバ(群)、処理用プラットフォームまたはプラットフォーム(群)、および/または特定の処理コンポーネント群(ウェハペデスタル、ガスフローシステムなど)、などの半導体処理装置を備えることができる。これらのシステムは、半導体ウェハまたは基板の処理前、処理中、処理後の自身のオペレーションを制御するための電子装置と統合されてよい。それらの電子装置は、そのシステムまたはシステム群の各種コンポーネントまたはサブパーツを制御し得る「コントローラ」を指す場合がある。コントローラ629は、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、一部のシステムにおける高周波(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給の設定、位置および動作設定、ツールとの間および他の搬送ツールとの間および/または特定のシステムに接続またはインタフェースしているロードロックとの間のウェハ搬送を含む、本明細書に開示の任意のプロセスを制御するようにプログラムされてよい。
コントローラは、広義には、種々の集積回路、ロジック、メモリ、および/または、命令を受け取り、命令を発行し、オペレーションを制御し、クリーニング動作を実現し、終点測定を実現するなどのソフトウェア、を有する電子装置と定義され得る。集積回路には、プログラム命令を格納したファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として規定されるチップ、および/またはプログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサもしくはマイクロコントローラ、が含まれてよい。プログラム命令は、半導体ウェハ上での特定のプロセスまたは半導体ウェハのための特定のプロセスまたはシステムに対する特定のプロセスを実施するための動作パラメータを規定する様々な個々の設定(またはプログラムファイル)の形でコントローラに伝達される命令であり得る。動作パラメータは、いくつかの実施形態では、ウェハの1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはダイの製造において1つ以上の処理工程を実現するために、プロセスエンジニアによって規定されるレシピの一部であり得る。
コントローラ629は、いくつかの実現形態において、システムに統合もしくは結合されるか、またはその他の方法でシステムにネットワーク接続されたコンピュータの一部であるか、またはそのようなコンピュータに結合されたものであるか、またはそれらの組み合わせであり得る。例えば、コントローラ629は、「クラウド」にあるか、またはファブホストコンピュータシステムの全体もしくは一部であってよく、それは、ウェハ処理のためのリモートアクセスを可能とするものであり得る。コンピュータによって、製造オペレーションの現在の進行状況を監視し、過去の製造オペレーションの履歴を調査し、複数の製造オペレーションからの傾向またはパフォーマンスメトリックを調査するため、現在の処理のパラメータを変更するため、現在の処理に従って処理工程を設定するため、または、新たなプロセスを開始するための、システムへのリモートアクセスが実現され得る。いくつかの例において、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含み得るネットワークを介して、システムにプロセスレシピを提供することができる。リモートコンピュータは、後にリモートコンピュータからシステムに伝達されるパラメータおよび/または設定の、入力またはプログラミングを可能とするユーザインタフェースを有するものであってよい。いくつかの例では、コントローラは、1つ以上のオペレーションにおいて実行される処理工程の各々のパラメータを指定するデータの形で命令を受け取る。なお、それらのパラメータは、実施されるプロセスのタイプ、およびコントローラがインタフェースまたは制御するように構成されているツールのタイプ、に固有のものであり得ることは、理解されるべきである。その場合、上述のように、相互にネットワーク接続されているとともに、本明細書に記載のプロセスおよび制御などの共通の目的に向かって協働する1つ以上の別個のコントローラを備えることなどによって、コントローラを分散させてよい。このような目的の分散コントローラの一例は、チャンバに搭載する1つ以上の集積回路であり、これらは、(プラットフォームレベルで、またはリモートコンピュータの一部として、など)遠隔配置された1つ以上の集積回路と通信し、共同でチャンバにおけるプロセスを制御する。
例示的なシステムは、限定するものではないが、プラズマエッチングチャンバまたはモジュール、成膜チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、クリーンチャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相成長(PVD)チャンバまたはモジュール、CVDチャンバまたはモジュール、ALDチャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに半導体ウェハの作製および/または製造に関連または使用することがある他の任意の半導体処理システム、を含み得る。
上述のように、コントローラは、ツールで実行される処理工程また工程群に応じて、他のツール回路もしくはモジュール、他のツール部品、クラスタツール、他のツールインタフェース、隣接するツール、近隣のツール、工場の至るところに配置されたツール、メインコンピュータ、他のコントローラ、または半導体製造工場においてツール場所および/もしくはロードポートとの間でウェハの容器を移動させる材料搬送で使用されるツール、のうちの1つ以上と通信し得る。
コントローラ629は、各種プログラムを含み得る。基板位置決めプログラムは、基板をペデスタルまたはチャック上にロードするために、さらには、基板と、ガス入口のようなチャンバの他の部材および/またはターゲットとの間隔を制御するために、使用されるチャンバ構成要素を制御するためのプログラムコードを含み得る。処理ガス制御プログラムは、ガス組成、流量、パルス時間を制御するための、さらにはオプションとして、堆積前にチャンバ内の圧力を安定化させるためにチャンバ内にガスを流入させるための、コードを含み得る。圧力制御プログラムは、例えば、チャンバの排気システムのスロットルバルブを調節することによってチャンバ内の圧力を制御するための、コードを含み得る。ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含み得る。あるいは、ヒータ制御プログラムは、ウェハチャックへのヘリウムのような熱伝達ガスの供給を制御するものであってよい。
堆積中に監視され得るチャンバセンサの例として、マスフローコントローラ、マノメータのような圧力センサ、ペデスタルまたはチャックに配置される熱電対、が含まれる。所望のプロセス条件を維持するために、これらのセンサからのデータと共に、適切にプログラムされたフィードバックおよび制御アルゴリズムを用いてよい。
装置は、図8に概略的に示すように、各種ガス分配ラインへのライン充填を提供するガスマニホールドシステムを備え得る。マニホールド804は、タングステン含有前駆体ガスまたはモリブデン含有前駆体ガスの供給源(図示せず)からの入力802を有し、マニホールド811は、水素または他の還元ガスの供給源(図示せず)からの入力809を有し、マニホールド821は、不活性パージガスの供給源(図示せず)からの入力819を有する。マニホールド804、811、821は、それぞれ、バルブ付き分配ライン805、813、825を介して、前駆体ガス、還元ガス、パージガスを、成膜チャンバに供給する。ライン充填を提供するために、すなわち、分配ラインを加圧するために、各種バルブを開放または閉止する。例えば、分配ライン805を加圧するために、真空へのバルブ806を閉止するとともに、バルブ808を閉止する。適切な時間インクリメントの後に、バルブ808を開放して、前駆体ガスをチャンバに供給する。ガス供給のための適切な時間の後に、バルブ808を閉止する。次に、真空へのバルブ806を開放することにより、チャンバを真空にパージすることができる。
同様のプロセスを用いて、還元ガスおよびパージガスを供給する。例えば、還元ガスを導入するために、バルブ815を閉止するとともに真空へのバルブ817を閉止することにより、分配ライン813に充填する。バルブ815を開放することによって、チャンバに還元ガスを供給することが可能となる。同様に、パージガスを導入するために、バルブ827を閉止するとともに真空へのバルブ823を閉止することにより、分配ライン825に充填する。バルブ827を開放することによって、チャンバにアルゴンまたは他の不活性パージガスを供給することが可能となる。ライン充填のために許容される時間量によって、最初のガス供給の量およびタイミングは変化する。
図6は、さらに、システムをパージするためにバルブ806、817、823をそれぞれ開放することができる真空ポンプを示している。各種分配ラインを介したガスの供給は、流量、流入継続時間、およびプロセスの順序付けを用いてプログラムされた、マイクロプロセッサ、デジタル信号プロセッサなどで制御されるマスフローコントローラのような、コントローラによって制御される。
なお、上記のプロセスは、堆積中に試薬のパルスを半導体基板に供給するバルブおよびマスフローコントローラ(MFC)の正確なタイミングを必要とし得ることに留意すべきである。これを可能にする1つの方法では、組み込みデジタル入力/出力コントローラ(IOC)に対して、PNL堆積シーケンスの全部または一部についてのタイムクリティカルなすべてのコマンドのための命令を含む情報の離散パケットで、バルブおよびMFCコマンドを発する。ラムリサーチ社のALTUSシステムは、少なくとも1つのIOCシーケンスを提供する。それらのIOCは、例えば、処理モジュール内、または処理モジュールからある程度離れた場所にあるスタンドアロン電源ラック上など、装置内の様々な場所に物理的に配置することができる。典型的には、各モジュール内に複数のIOCがある(例えば、モジュールごとに3つ)。1つのシーケンスに含まれる実際の命令に関して、(キャリアガスおよび反応ガスのすべてについて)バルブを制御するとともにMFCの流量を設定するためのすべてのコマンドを、単一のIOCシーケンスに含めてよい。これにより、すべてのデバイスのタイミングは、絶対的な観点から、また、互いに対しても、厳密に制御されることが確保される。典型的には、任意の所与の時点で、複数のIOCシーケンスが実行されている。これにより、例えば、ステーション1〜2において、これらのステーションでPNL−W核形成層を堆積させるために必要なすべてのハードウェアコンポーネントについて、すべてのタイミングを制御して、PNLを実行することが可能となる。第2のシーケンスは、同じモジュール内の他の成膜ステーションにおいて、上記のタイミングシーケンスを用いてバルクタングステンを堆積させるために、同時に実行されている場合がある。ステーション3〜4への試薬の供給を制御するデバイスの相対タイミングは、そのデバイス群の中では重要であるが、ステーション1〜2におけるPNLプロセスの相対タイミングは、ステーション3〜4の相対タイミングからオフセットさせることができる。IOCは、パケット化されたシーケンス内の情報を変換して、MFC、またはバルブを制御する空圧ソレノイドバンクに対して直接、デジタルまたはアナログコマンド信号を発する。
タングステン含有ガスのパルスは、以下のように生成されてよい。最初に、システムは、MFCまたは他の流量制御装置が安定している間に、ある期間にわたってWClxを真空ポンプに分流させる。これは、一例では、約0.5〜5秒の間の期間にわたって行われてよい。次に、システムは、分流出口606と、成膜チャンバへの出口608を、両方とも閉止することにより、タングステンガス供給マニホールドを加圧する。これは、例えば、成膜チャンバへの出口が開放されたときに、試薬の最初のバーストを生成するために、約0.1〜5秒の間の期間にわたって行われてよい。これは、一例では、約0.1〜10秒の間の期間にわたって出口バルブ808を開放することにより実現される。その後、適切なパージガスを用いて、タングステン含有ガスを成膜チャンバからパージする。他の試薬のパルス流は、同様の方法で行われてよい。モリブデン含有ガスのパルスは、同様の方法で生成されてよい。
上記では、シングルまたはマルチチャンバ半導体処理ツールにおける開示の実施形態の実施について記載している。本明細書に記載の装置およびプロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽電池パネルなどの作製または製造のために、リソグラフィパターニング・ツールまたプロセスと組み合わせて用いてよい。一般に、そのようなツール/プロセスは、必ずしもそうではないが、共通の製造設備で一緒に使用または実施される。膜のリソグラフィパターニングは、通常、以下の工程の一部またはすべてを含み、各工程は、いくつかの考え得るツールを用いて実施される。(1)スピン式またはスプレー式のツールを用いて、ワークピースすなわち基板の上にフォトレジストを塗布する;(2)ホットプレートまたは炉またはUV硬化ツールを用いて、フォトレジストを硬化させる;(3)ウェハステッパのようなツールによって、可視光線または紫外線またはX線でフォトレジストを露光する;(4)ウェットベンチのようなツールを用いて、選択的にレジストを除去するようにレジストを現像し、これによりパターンを形成する;(5)ドライまたはプラズマアシスト・エッチングツールを用いて、レジストパターンを下の膜またはワークピースに転写する;(6)RFまたはマイクロ波プラズマ・レジストストリッパのようなツールを用いて、レジストを剥離する。
[結論]
上記の実施形態は、明確な理解を目的として、ある程度詳細に記載しているが、添付の特許請求の範囲の範囲内でいくらかの変更および変形を実施してよいことは明らかであろう。なお、本発明の実施形態のプロセス、システム、および装置を実現する数多くの代替的形態があることに留意すべきである。よって、本発明の実施形態は例示とみなされるべきであって、限定するものではなく、また、実施形態は、本明細書で提示した詳細に限定されるものではない。

Claims (38)

  1. 方法であって、
    金属含有材料で充填すべき構造を準備することと、
    前記構造を複数回の堆積サイクルに暴露することと、を含み、各堆積サイクルは、
    水素(H2)パルスへの暴露と、その後の不活性ガスパージパルスへの暴露と、
    複数回の交互の金属前駆体パルスと不活性ガスパージパルスへの暴露と、を含む、方法。
  2. 請求項1に記載の方法であって、前記金属は、タングステン(W)またはモリブデン(Mo)である、方法。
  3. 請求項1に記載の方法であって、前記金属前駆体は、塩素含有金属前駆体である、方法。
  4. 請求項3に記載の方法であって、前記塩素含有金属前駆体は、塩化タングステンまたはオキシ塩化タングステンを含む、方法。
  5. 請求項4に記載の方法であって、前記塩素含有金属前駆体は、WCl5およびWCl6のうちの少なくとも1つを含む、方法。
  6. 請求項3に記載の方法であって、前記塩素含有金属前駆体は、塩化モリブデンまたはオキシ塩化モリブデンを含む、方法。
  7. 請求項6に記載の方法であって、前記塩素含有金属前駆体は、MoCl5、MoO2Cl2、およびMoOCl4のうちの少なくとも1つを含む、方法。
  8. 請求項3に記載の方法であって、前記塩素含有金属前駆体のパルスは、体積で約0.1%〜約1.5%の間の塩素含有タングステン前駆体を含む、方法。
  9. 請求項1に記載の方法であって、複数回の交互の金属前駆体パルスと不活性ガスパージパルスへの前記暴露は、前記金属前駆体パルスの最中に前記不活性ガスパージの流れをオフにすることを含む、方法。
  10. 請求項1に記載の方法であって、前記不活性ガスパージパルスの継続時間は、前記金属前駆体パルスの継続時間の少なくとも1.5倍である、方法。
  11. 請求項1に記載の方法であって、各堆積サイクルは、少なくとも5回の交互の金属前駆体パルスと不活性ガスパージパルスを含む、方法。
  12. 請求項1に記載の方法であって、各堆積サイクルは、少なくとも10回の交互の金属前駆体パルスと不活性ガスパージパルスを含む、方法。
  13. 請求項1に記載の方法であって、各堆積サイクルは、1回のみのH2パルスを含む、方法。
  14. 請求項1に記載の方法であって、各堆積サイクルは、複数回の交互のH2パルスと不活性ガスパルスを含む、方法。
  15. 請求項1に記載の方法であって、前記構造は、製造途中の3次元(3D)NAND構造であって、前記3D NAND構造は、側壁と、前記側壁に複数の開口部と、を有し、前記開口部は、前記開口部を通して流体アクセス可能な複数の内部領域を有する複数のフィーチャにつながっている、方法。
  16. 装置であって、
    基板を保持するようにそれぞれ構成された1つ以上の処理チャンバと、
    水素(H2)ガス源、金属前駆体ガス源、および不活性パージガス源に結合するための1つ以上の処理ガス入口と、
    前記装置におけるオペレーションを制御するためのコントローラと、を備え、前記コントローラは、複数回の堆積サイクルを実行するためのマシン可読命令を含み、各堆積サイクルは、
    前記1つ以上の処理ガス入口を介して前記1つ以上の処理チャンバに水素(H2)パルスを注入することと、
    前記H2パルスの注入後に、前記1つ以上の処理ガス入口を介して前記1つ以上の処理チャンバに不活性パージガスパルスを注入することと、
    前記不活性パージガスパルスの注入後に、前記1つ以上の処理ガス入口を介して前記1つ以上の処理チャンバに複数回の交互の金属前駆体パルスと不活性ガスパージパルスを注入することと、を含む、装置。
  17. 請求項16に記載の装置であって、前記金属前駆体は、塩素含有前駆体である、装置。
  18. 請求項17に記載の装置であって、前記金属前駆体は、塩化タングステン、オキシ塩化タングステン、塩化モリブデン、およびオキシ塩化モリブデンのうちの少なくとも1つを含む、装置。
  19. 請求項16に記載の装置であって、前記命令は、前記金属前駆体パルスの最中に前記不活性ガスパージの流れをオフにするための命令を含む、装置。
  20. 請求項16に記載の装置であって、前記不活性ガスパージパルスの継続時間は、前記金属前駆体パルスの継続時間の少なくとも1.5倍である、装置。
  21. 請求項16に記載の装置であって、各堆積サイクルは、少なくとも5回の交互の金属前駆体パルスと不活性ガスパージパルスを含む、装置。
  22. 方法であって、
    金属含有材料で充填すべき構造を準備することと、
    前記構造を複数回の堆積サイクルに暴露することと、を含み、各堆積サイクルは、
    複数回の交互の水素(H2)パルスと不活性ガスパージパルスへの暴露と、
    金属前駆体パルスおよび、続く不活性ガスパージパルスへの暴露と、を含む、方法。
  23. 請求項22に記載の方法であって、前記金属は、タングステン(W)またはモリブデン(Mo)である、方法。
  24. 請求項22に記載の方法であって、前記金属前駆体は、塩素含有前駆体である、方法。
  25. 請求項24に記載の方法であって、前記塩素含有金属前駆体は、塩化タングステンまたはオキシ塩化タングステンを含む、方法。
  26. 請求項24に記載の方法であって、前記塩素含有金属前駆体は、塩化モリブデンまたはオキシ塩化モリブデンを含む、方法。
  27. 請求項24に記載の方法であって、前記塩素含有金属前駆体は、WCl5、WCl6、MoCl5、MoO2Cl2、およびMoOCl4のうちの少なくとも1つを含む、方法。
  28. 請求項24に記載の方法であって、前記塩素含有金属前駆体のパルスは、体積で約0.1%〜約1.5%の間の塩素含有タングステン前駆体を含む、方法。
  29. 請求項22に記載の方法であって、複数回の交互のH2パルスと不活性ガスパージパルスへの前記暴露は、前記H2パルスの最中に前記不活性ガスパージの流れをオフにすることを含む、方法。
  30. 請求項22に記載の方法であって、前記不活性ガスパージパルスの継続時間は、前記H2パルスの継続時間の少なくとも1.5倍である、方法。
  31. 請求項22に記載の方法であって、各堆積サイクルは、少なくとも5回の交互のH2パルスと不活性ガスパージパルスを含む、方法。
  32. 請求項22に記載の方法であって、各堆積サイクルは、少なくとも10回の交互の金属前駆体パルスと不活性ガスパージパルスを含む、方法。
  33. 請求項22に記載の方法であって、各堆積サイクルは、1回のみの金属前駆体パルスを含む、方法。
  34. 請求項22に記載の方法であって、各堆積サイクルは、複数回の交互の金属前駆体パルスと不活性ガスパージパルスを含む、方法。
  35. 請求項22に記載の方法であって、前記構造は、製造途中の3次元(3D)NAND構造であって、前記3D NAND構造は、側壁と、前記側壁に複数の開口部と、を有し、前記開口部は、前記開口部を通して流体アクセス可能な複数の内部領域を有する複数のフィーチャにつながっている、方法。
  36. 装置であって、
    基板を保持するようにそれぞれ構成された1つ以上の処理チャンバと、
    水素(H2)ガス源、金属前駆体ガス源、および不活性パージガス源に結合するための1つ以上の処理ガス入口と、
    前記装置におけるオペレーションを制御するためのコントローラと、を備え、前記コントローラは、複数回の堆積サイクルを実行するためのマシン可読命令を含み、各堆積サイクルは、
    前記1つ以上の処理ガス入口を介して前記1つ以上の処理チャンバに複数回の交互の水素(H2)パルスと不活性ガスパージパルスを注入することと、
    前記1つ以上の処理ガス入口を介して前記1つ以上の処理チャンバに金属前駆体パルスを注入することと、
    前記1つ以上の処理ガス入口を介して前記1つ以上の処理チャンバにパージガスパルスを注入することと、を含む、装置。
  37. 請求項36に記載の装置であって、前記金属前駆体は、塩素含有前駆体である、装置。
  38. 請求項37に記載の装置であって、前記金属前駆体は、塩化タングステン、オキシ塩化タングステン、塩化モリブデン、およびオキシ塩化モリブデンのうちの少なくとも1つを含む、装置。
JP2020561743A 2018-05-03 2019-05-03 3d nand構造内にタングステンおよび他の金属を堆積させる方法 Pending JP2021523292A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862666588P 2018-05-03 2018-05-03
US62/666,588 2018-05-03
PCT/US2019/030712 WO2019213604A1 (en) 2018-05-03 2019-05-03 Method of depositing tungsten and other metals in 3d nand structures

Publications (2)

Publication Number Publication Date
JP2021523292A true JP2021523292A (ja) 2021-09-02
JPWO2019213604A5 JPWO2019213604A5 (ja) 2022-05-02

Family

ID=68386126

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020561743A Pending JP2021523292A (ja) 2018-05-03 2019-05-03 3d nand構造内にタングステンおよび他の金属を堆積させる方法

Country Status (5)

Country Link
US (1) US11549175B2 (ja)
JP (1) JP2021523292A (ja)
KR (1) KR20200140391A (ja)
CN (1) CN112262457A (ja)
WO (1) WO2019213604A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7486588B2 (ja) 2020-01-16 2024-05-17 インテグリス・インコーポレーテッド エッチングまたは堆積のための方法

Families Citing this family (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR20200032756A (ko) 2017-08-14 2020-03-26 램 리써치 코포레이션 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2022523689A (ja) 2019-01-28 2022-04-26 ラム リサーチ コーポレーション 金属膜の蒸着
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition
WO2020214732A1 (en) * 2019-04-19 2020-10-22 Lam Research Corporation Rapid flush purging during atomic layer deposition
US11447864B2 (en) * 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
FR3108920B1 (fr) * 2020-04-07 2022-07-22 Commissariat Energie Atomique Procede de depot d’un film metallique de tungstene ou de molybdene par ald
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7433132B2 (ja) * 2020-05-19 2024-02-19 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
KR20230104735A (ko) * 2020-12-17 2023-07-10 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 프로그램, 기판 처리 장치 및 반도체 장치의 제조 방법
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN117460859A (zh) 2021-05-07 2024-01-26 恩特格里斯公司 钼或钨材料的沉积方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230290679A1 (en) * 2022-03-09 2023-09-14 Applied Materials, Inc. Tungsten molybdenum structures
WO2024102866A1 (en) * 2022-11-10 2024-05-16 Lam Research Corporation Pulse ald sequence for low fluorine wn deposition

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017053024A (ja) * 2015-08-07 2017-03-16 ラム リサーチ コーポレーションLam Research Corporation タングステン堆積充填の強化のためのタングステンの原子層エッチング
US20170306479A1 (en) * 2016-04-21 2017-10-26 Asm Ip Holding B.V. Deposition of metal borides and silicides
US20170306490A1 (en) * 2016-04-25 2017-10-26 Applied Materials, Inc. Enhanced Spatial ALD Of Metals Through Controlled Precursor Mixing

Family Cites Families (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
JPH02187031A (ja) 1989-01-14 1990-07-23 Sharp Corp 半導体装置
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
EP1069610A2 (en) 1990-01-08 2001-01-17 Lsi Logic Corporation Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
JPH04142061A (ja) 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5567583A (en) 1991-12-16 1996-10-22 Biotronics Corporation Methods for reducing non-specific priming in DNA detection
JPH05226280A (ja) 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
JP2536377B2 (ja) 1992-11-27 1996-09-18 日本電気株式会社 半導体装置およびその製造方法
KR950012738B1 (ko) 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
JP3014019B2 (ja) 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
KR970009867B1 (ko) 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
JP3291889B2 (ja) 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
DE69518710T2 (de) 1994-09-27 2001-05-23 Applied Materials Inc Verfahren zum Behandeln eines Substrats in einer Vakuumbehandlungskammer
JPH08115984A (ja) 1994-10-17 1996-05-07 Hitachi Ltd 半導体装置及びその製造方法
US5545581A (en) 1994-12-06 1996-08-13 International Business Machines Corporation Plug strap process utilizing selective nitride and oxide etches
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
JPH0927596A (ja) 1995-07-11 1997-01-28 Sanyo Electric Co Ltd 半導体装置の製造方法
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
TW310461B (ja) 1995-11-10 1997-07-11 Matsushita Electric Ind Co Ltd
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5633200A (en) 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
KR100214852B1 (ko) 1996-11-02 1999-08-02 김영환 반도체 디바이스의 금속 배선 형성 방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
KR100255516B1 (ko) 1996-11-28 2000-05-01 김영환 반도체 장치의 금속배선 및 그 형성방법
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
JP3090074B2 (ja) 1997-01-20 2000-09-18 日本電気株式会社 半導体装置及びその製造方法
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5956609A (en) 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6114242A (en) 1997-12-05 2000-09-05 Taiwan Semiconductor Manufacturing Company MOCVD molybdenum nitride diffusion barrier for Cu metallization
US6103609A (en) 1997-12-11 2000-08-15 Lg Semicon Co., Ltd. Method for fabricating semiconductor device
KR100272523B1 (ko) 1998-01-26 2000-12-01 김영환 반도체소자의배선형성방법
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6452276B1 (en) 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
KR100296126B1 (ko) 1998-12-22 2001-08-07 박종섭 고집적 메모리 소자의 게이트전극 형성방법
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
JP3206578B2 (ja) 1999-01-11 2001-09-10 日本電気株式会社 多層配線構造をもつ半導体装置の製造方法
JP4570704B2 (ja) 1999-02-17 2010-10-27 株式会社アルバック バリア膜製造方法
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
TW452607B (en) 1999-03-26 2001-09-01 Nat Science Council Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6309964B1 (en) 1999-07-08 2001-10-30 Taiwan Semiconductor Manufacturing Company Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6265312B1 (en) 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
KR100330163B1 (ko) 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
FI20000099A0 (fi) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6777331B2 (en) 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
US6429126B1 (en) 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
JP2001284360A (ja) 2000-03-31 2001-10-12 Hitachi Ltd 半導体装置
JP5184731B2 (ja) 2000-05-18 2013-04-17 コーニング インコーポレイテッド 固体酸化物燃料電池用可撓性電極/電解質構造体、燃料電池装置、およびその作成方法
JP3651360B2 (ja) 2000-05-19 2005-05-25 株式会社村田製作所 電極膜の形成方法
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP2002016066A (ja) 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
JP2004514289A (ja) 2000-11-17 2004-05-13 東京エレクトロン株式会社 金属配線の形成方法および金属配線形成用半導体製造装置
KR100375230B1 (ko) 2000-12-20 2003-03-08 삼성전자주식회사 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법
US6908848B2 (en) 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020190379A1 (en) 2001-03-28 2002-12-19 Applied Materials, Inc. W-CVD with fluorine-free tungsten nucleation
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
JP4032872B2 (ja) 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
JP4595989B2 (ja) 2001-08-24 2010-12-08 東京エレクトロン株式会社 成膜方法
JP4938962B2 (ja) * 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
TWI253478B (en) 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
KR20030050652A (ko) 2001-12-19 2003-06-25 주식회사 하이닉스반도체 텅스텐막의 형성 방법
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
KR100485305B1 (ko) 2002-05-10 2005-04-25 주식회사 웰스킨 스핑고신-1-포스페이트 및 그 유도체를 포함하는 미백화장료 조성물
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
JP2005533178A (ja) 2002-07-12 2005-11-04 ザ プレジデント アンド フェロウズ オブ ハーバード カレッジ 窒化タングステンの蒸着
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
KR20050110613A (ko) 2002-12-23 2005-11-23 어플라이드 씬 필름스 인코포레이티드 알루미늄 포스페이트 코팅
WO2004061154A1 (ja) 2002-12-27 2004-07-22 Ulvac Inc. 窒化タングステン膜の成膜方法
JP2004235456A (ja) 2003-01-30 2004-08-19 Seiko Epson Corp 成膜装置、成膜方法および半導体装置の製造方法
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
JP2005026380A (ja) 2003-06-30 2005-01-27 Toshiba Corp 不揮発性メモリを含む半導体装置及びその製造方法
JP2005029821A (ja) 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
JP2005150416A (ja) 2003-11-17 2005-06-09 Hitachi Ltd 半導体集積回路装置及びその製造方法
KR20050054122A (ko) * 2003-12-04 2005-06-10 성명모 자외선 원자층 증착법을 이용한 박막 제조 방법
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
US20050139838A1 (en) 2003-12-26 2005-06-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
KR100528030B1 (ko) 2003-12-30 2005-11-15 주식회사 아이피에스 박막 증착 방법
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
CN100370585C (zh) 2004-04-12 2008-02-20 株式会社爱发科 隔离膜的形成方法及电极膜的形成方法
WO2005104165A1 (en) 2004-04-21 2005-11-03 Philips Intellectual Property & Standards Gmbh Method for the thermal treatment of tungsten electrodes free from thorium oxide for high-pressure discharge lamps
US6987063B2 (en) 2004-06-10 2006-01-17 Freescale Semiconductor, Inc. Method to reduce impurity elements during semiconductor film deposition
US20050282384A1 (en) 2004-06-17 2005-12-22 Hidemi Nawafune Method for forming protective film and electroless plating bath
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
KR100615093B1 (ko) 2004-08-24 2006-08-22 삼성전자주식회사 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법
US7250367B2 (en) 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US20060068098A1 (en) 2004-09-27 2006-03-30 Tokyo Electron Limited Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US7344983B2 (en) 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
EP1728894B1 (en) 2005-06-01 2008-10-15 Interuniversitair Microelektronica Centrum ( Imec) Atomic layer deposition (ald) method for producing a high quality layer
JP4738178B2 (ja) 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
JP4864368B2 (ja) 2005-07-21 2012-02-01 シャープ株式会社 気相堆積方法
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US20070066060A1 (en) 2005-09-19 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and fabrication methods thereof
US7235485B2 (en) 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2007250907A (ja) 2006-03-16 2007-09-27 Renesas Technology Corp 半導体装置およびその製造方法
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7828504B2 (en) 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
US7557047B2 (en) 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
KR100884339B1 (ko) 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
KR100705936B1 (ko) 2006-06-30 2007-04-13 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성방법
US8278216B1 (en) 2006-08-18 2012-10-02 Novellus Systems, Inc. Selective capping of copper
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR100894769B1 (ko) 2006-09-29 2009-04-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
KR100881391B1 (ko) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
KR20080036679A (ko) 2006-10-24 2008-04-29 삼성전자주식회사 불 휘발성 메모리 소자의 형성 방법
US7675119B2 (en) 2006-12-25 2010-03-09 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
KR100874829B1 (ko) 2006-12-26 2008-12-19 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
KR20080061978A (ko) 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 배선 형성방법
JP2008205219A (ja) 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
US7786006B2 (en) 2007-02-26 2010-08-31 Tokyo Electron Limited Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming
CN100577866C (zh) 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US8435898B2 (en) 2007-04-05 2013-05-07 Freescale Semiconductor, Inc. First inter-layer dielectric stack for non-volatile memory
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
US20080268642A1 (en) * 2007-04-20 2008-10-30 Kazutaka Yanagita Deposition of transition metal carbide containing films
CN101308794B (zh) 2007-05-15 2010-09-15 应用材料股份有限公司 钨材料的原子层沉积
JP2008288289A (ja) 2007-05-16 2008-11-27 Oki Electric Ind Co Ltd 電界効果トランジスタとその製造方法
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
KR100890047B1 (ko) 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US8142847B2 (en) 2007-07-13 2012-03-27 Rohm And Haas Electronic Materials Llc Precursor compositions and methods
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
KR101225642B1 (ko) 2007-11-15 2013-01-24 삼성전자주식회사 H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
KR100939777B1 (ko) 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US8080324B2 (en) 2007-12-03 2011-12-20 Kobe Steel, Ltd. Hard coating excellent in sliding property and method for forming same
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR100919808B1 (ko) 2008-01-02 2009-10-01 주식회사 하이닉스반도체 반도체소자의 텅스텐막 형성방법
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
KR20090101592A (ko) 2008-03-24 2009-09-29 삼성전자주식회사 산화막 형성 방법 및 이를 이용한 게이트 형성 방법
KR101163825B1 (ko) 2008-03-28 2012-07-09 도쿄엘렉트론가부시키가이샤 정전척 및 그 제조 방법
WO2009125255A1 (en) 2008-04-11 2009-10-15 Freescale Semiconductor, Inc. Surface treatment in semiconductor manufacturing
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8385644B2 (en) 2008-07-08 2013-02-26 Zeitera, Llc Digital video fingerprinting based on resultant weighted gradient orientation computation
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
KR20100029952A (ko) 2008-09-09 2010-03-18 주식회사 하이닉스반도체 금속성 캡핑층을 구비한 상변화 메모리 소자 및 그 제조 방법
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
JP2010093116A (ja) 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US20100120245A1 (en) 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US8236691B2 (en) 2008-12-31 2012-08-07 Micron Technology, Inc. Method of high aspect ratio plug fill
US8071478B2 (en) 2008-12-31 2011-12-06 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
KR20100096488A (ko) 2009-02-24 2010-09-02 삼성전자주식회사 리세스 채널 구조를 갖는 반도체 소자
DE102009015747B4 (de) 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US8039394B2 (en) 2009-06-26 2011-10-18 Seagate Technology Llc Methods of forming layers of alpha-tantalum
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
SG10201407519TA (en) 2009-11-19 2015-01-29 Univ Singapore Method For Producing T Cell Receptor-Like Monoclonal Antibodies And Uses Thereof
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
US8642797B2 (en) 2010-02-25 2014-02-04 Air Products And Chemicals, Inc. Amidate precursors for depositing metal containing films
JP5729911B2 (ja) 2010-03-11 2015-06-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステン膜の製造方法およびタングステン膜を堆積させる装置
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
KR101356332B1 (ko) 2010-03-19 2014-02-04 노벨러스 시스템즈, 인코포레이티드 낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
IL213195A0 (en) 2010-05-31 2011-07-31 Rohm & Haas Elect Mat Photoresist compositions and emthods of forming photolithographic patterns
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
TW201314739A (zh) 2010-09-27 2013-04-01 Astrowatt Inc 包含半導體層及含金屬層之電子裝置及其形成方法
WO2012057884A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Nitrogen-containing ligands and their use in atomic layer deposition methods
US8969823B2 (en) 2011-01-21 2015-03-03 Uchicago Argonne, Llc Microchannel plate detector and methods for their fabrication
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
JP5710529B2 (ja) 2011-09-22 2015-04-30 株式会社東芝 半導体装置及びその製造方法
WO2013063260A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
KR101990051B1 (ko) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
KR20140028992A (ko) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
JP2014074190A (ja) 2012-10-02 2014-04-24 Tokyo Electron Ltd 成膜装置
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9546419B2 (en) 2012-11-26 2017-01-17 Applied Materials, Inc. Method of reducing tungsten film roughness and resistivity
WO2014140672A1 (en) 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8975142B2 (en) 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
JP6494940B2 (ja) 2013-07-25 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9748105B2 (en) 2013-08-16 2017-08-29 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (WF6) etchback
JP5864503B2 (ja) 2013-09-30 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6594304B2 (ja) 2013-10-18 2019-10-23 ブルックス オートメーション インコーポレイテッド 処理装置
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
JP2015177006A (ja) 2014-03-14 2015-10-05 株式会社東芝 半導体装置及びその製造方法
JP6379550B2 (ja) 2014-03-18 2018-08-29 東京エレクトロン株式会社 成膜装置
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US20150348840A1 (en) 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten
US9551074B2 (en) 2014-06-05 2017-01-24 Lam Research Corporation Electroless plating solution with at least two borane containing reducing agents
TWI656232B (zh) 2014-08-14 2019-04-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 鉬組成物及其用於形成氧化鉬膜之用途
KR102156409B1 (ko) 2014-09-16 2020-09-15 에스케이하이닉스 주식회사 패턴 형성 방법
JP2016098406A (ja) 2014-11-21 2016-05-30 東京エレクトロン株式会社 モリブデン膜の成膜方法
US9502263B2 (en) 2014-12-15 2016-11-22 Applied Materials, Inc. UV assisted CVD AlN film for BEOL etch stop application
US9443865B2 (en) 2014-12-18 2016-09-13 Sandisk Technologies Llc Fabricating 3D NAND memory having monolithic crystalline silicon vertical NAND channel
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
TW201700761A (zh) 2015-05-13 2017-01-01 應用材料股份有限公司 經由基材的有機金屬或矽烷預處理而改良的鎢膜
WO2016191432A1 (en) 2015-05-27 2016-12-01 Asm Ip Holding B.V. Synthesis and use of precursors for ald of molybdenum or tungsten containing thin films
US9978605B2 (en) * 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) * 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
JP6541438B2 (ja) 2015-05-28 2019-07-10 東京エレクトロン株式会社 金属膜のストレス低減方法および金属膜の成膜方法
US10121671B2 (en) 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
US9853123B2 (en) 2015-10-28 2017-12-26 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
WO2017143246A1 (en) 2016-02-19 2017-08-24 Sigma-Aldrich Co., Llc Deposition of molybdenum thin films using a molybdenum carbonyl precursor
CN109661481B (zh) 2016-07-14 2021-11-30 恩特格里斯公司 使用MoOC14的CVD Mo沉积
CN109563619A (zh) 2016-07-26 2019-04-02 东京毅力科创株式会社 钨膜的成膜方法
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US20180142345A1 (en) 2016-11-23 2018-05-24 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US10453744B2 (en) 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US10283404B2 (en) 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
KR20230127377A (ko) 2017-04-10 2023-08-31 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
KR20200032756A (ko) 2017-08-14 2020-03-26 램 리써치 코포레이션 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스
US20190067003A1 (en) 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019099997A1 (en) 2017-11-20 2019-05-23 Lam Research Corporation Self-limiting growth
US11560625B2 (en) 2018-01-19 2023-01-24 Entegris, Inc. Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor
KR20210027507A (ko) 2018-07-26 2021-03-10 램 리써치 코포레이션 순수 금속 막의 증착
WO2020028587A1 (en) 2018-07-31 2020-02-06 Lam Research Corporation Multi-layer feature fill
JP2022509621A (ja) 2018-11-19 2022-01-21 ラム リサーチ コーポレーション タングステン用モリブデンテンプレート
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
JP2022523689A (ja) 2019-01-28 2022-04-26 ラム リサーチ コーポレーション 金属膜の蒸着
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition
JP2022533834A (ja) 2019-05-22 2022-07-26 ラム リサーチ コーポレーション 核生成のないタングステン堆積
CN114269963A (zh) 2019-08-12 2022-04-01 朗姆研究公司 钨沉积
JP2022544674A (ja) 2019-08-22 2022-10-20 ラム リサーチ コーポレーション 半導体装置の製造における実質的に炭素を含まないモリブデン含有膜およびタングステン含有膜
CN114342062A (zh) 2019-09-03 2022-04-12 朗姆研究公司 钼沉积
WO2021076636A1 (en) 2019-10-15 2021-04-22 Lam Research Corporation Molybdenum fill
TW202200828A (zh) 2020-06-24 2022-01-01 荷蘭商Asm Ip私人控股有限公司 含鉬薄膜的氣相沉積

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017053024A (ja) * 2015-08-07 2017-03-16 ラム リサーチ コーポレーションLam Research Corporation タングステン堆積充填の強化のためのタングステンの原子層エッチング
US20170306479A1 (en) * 2016-04-21 2017-10-26 Asm Ip Holding B.V. Deposition of metal borides and silicides
US20170306490A1 (en) * 2016-04-25 2017-10-26 Applied Materials, Inc. Enhanced Spatial ALD Of Metals Through Controlled Precursor Mixing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7486588B2 (ja) 2020-01-16 2024-05-17 インテグリス・インコーポレーテッド エッチングまたは堆積のための方法

Also Published As

Publication number Publication date
KR20200140391A (ko) 2020-12-15
CN112262457A (zh) 2021-01-22
WO2019213604A1 (en) 2019-11-07
US20210238736A1 (en) 2021-08-05
US11549175B2 (en) 2023-01-10

Similar Documents

Publication Publication Date Title
JP2021523292A (ja) 3d nand構造内にタングステンおよび他の金属を堆積させる方法
US11978666B2 (en) Void free low stress fill
CN107768304B (zh) 用于在金属填充工艺期间防止线弯曲的方法
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
JP7092456B2 (ja) 連続cvdプロセスによる低フッ素タングステンの堆積
US9613818B2 (en) Deposition of low fluorine tungsten by sequential CVD process
CN110959186B (zh) 在互连金属化中沉积钌层
JP2017008412A5 (ja)
JP2022513479A (ja) 3d nand構造上の原子層堆積
JP2023520675A (ja) 核形成阻害を伴うフィーチャ充填
JP2022522226A (ja) 高ステップカバレッジのタングステン堆積
US20230290639A1 (en) Low resistance gate oxide metallization liner
TW201920748A (zh) 氮化鎢阻障層沉積
CN115868002A (zh) 高纵横比3d nand架构中的钨字线填充
TW202401671A (zh) 高縱橫比3d nand結構中的鎢字元線填充
US20220186370A1 (en) Rapid flush purging during atomic layer deposition
TW202340505A (zh) 利用成核抑制的特徵部填充
WO2024102866A1 (en) Pulse ald sequence for low fluorine wn deposition
KR20240052872A (ko) 반도체 프로세싱 동안 프로세스 가스 램핑
JP2023550331A (ja) 低抵抗率コンタクト及びインターコネクト
WO2024129781A1 (en) Feature fill using inhibition
TW202338134A (zh) 金屬填充中的傾斜襯墊

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220421

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220421

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230606

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230831

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231206

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20240319