JP2021523292A - 3d nand構造内にタングステンおよび他の金属を堆積させる方法 - Google Patents
3d nand構造内にタングステンおよび他の金属を堆積させる方法 Download PDFInfo
- Publication number
- JP2021523292A JP2021523292A JP2020561743A JP2020561743A JP2021523292A JP 2021523292 A JP2021523292 A JP 2021523292A JP 2020561743 A JP2020561743 A JP 2020561743A JP 2020561743 A JP2020561743 A JP 2020561743A JP 2021523292 A JP2021523292 A JP 2021523292A
- Authority
- JP
- Japan
- Prior art keywords
- pulse
- tungsten
- metal precursor
- pulses
- gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 229910052721 tungsten Inorganic materials 0.000 title claims abstract description 162
- 239000010937 tungsten Substances 0.000 title claims abstract description 162
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 title claims abstract description 161
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 110
- 239000002184 metal Substances 0.000 title claims abstract description 110
- 150000002739 metals Chemical class 0.000 title description 3
- 239000002243 precursor Substances 0.000 claims abstract description 169
- 238000000034 method Methods 0.000 claims abstract description 144
- 238000010926 purge Methods 0.000 claims abstract description 108
- 230000008021 deposition Effects 0.000 claims abstract description 84
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims abstract description 53
- 239000011733 molybdenum Substances 0.000 claims abstract description 53
- 229910052750 molybdenum Inorganic materials 0.000 claims abstract description 53
- 239000000463 material Substances 0.000 claims abstract description 36
- 239000011261 inert gas Substances 0.000 claims abstract description 34
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 33
- 239000001257 hydrogen Substances 0.000 claims abstract description 32
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims abstract description 9
- 239000007789 gas Substances 0.000 claims description 122
- 239000000758 substrate Substances 0.000 claims description 74
- 230000008569 process Effects 0.000 claims description 69
- 238000012545 processing Methods 0.000 claims description 48
- 239000000460 chlorine Substances 0.000 claims description 41
- 229910052801 chlorine Inorganic materials 0.000 claims description 34
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 25
- YOUIDGQAIILFBW-UHFFFAOYSA-J tetrachlorotungsten Chemical compound Cl[W](Cl)(Cl)Cl YOUIDGQAIILFBW-UHFFFAOYSA-J 0.000 claims description 20
- 239000012530 fluid Substances 0.000 claims description 10
- 125000001309 chloro group Chemical group Cl* 0.000 claims description 9
- PDKHNCYLMVRIFV-UHFFFAOYSA-H molybdenum;hexachloride Chemical compound [Cl-].[Cl-].[Cl-].[Cl-].[Cl-].[Cl-].[Mo] PDKHNCYLMVRIFV-UHFFFAOYSA-H 0.000 claims description 9
- CNRRZWMERIANGJ-UHFFFAOYSA-N chloro hypochlorite;molybdenum Chemical compound [Mo].ClOCl CNRRZWMERIANGJ-UHFFFAOYSA-N 0.000 claims description 8
- BWKCCRPHMILRGD-UHFFFAOYSA-N chloro hypochlorite;tungsten Chemical compound [W].ClOCl BWKCCRPHMILRGD-UHFFFAOYSA-N 0.000 claims description 7
- 238000002347 injection Methods 0.000 claims description 5
- 239000007924 injection Substances 0.000 claims description 5
- 238000000151 deposition Methods 0.000 abstract description 89
- 239000003638 chemical reducing agent Substances 0.000 abstract description 31
- 238000011049 filling Methods 0.000 abstract description 30
- 238000010586 diagram Methods 0.000 abstract description 23
- 238000004519 manufacturing process Methods 0.000 abstract description 14
- 239000010410 layer Substances 0.000 description 89
- 235000012431 wafers Nutrition 0.000 description 25
- 239000010408 film Substances 0.000 description 24
- 239000012528 membrane Substances 0.000 description 22
- 239000004065 semiconductor Substances 0.000 description 20
- 238000005229 chemical vapour deposition Methods 0.000 description 17
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 16
- 238000000231 atomic layer deposition Methods 0.000 description 16
- 239000011737 fluorine Substances 0.000 description 16
- 229910052731 fluorine Inorganic materials 0.000 description 16
- 230000006911 nucleation Effects 0.000 description 16
- 238000010899 nucleation Methods 0.000 description 16
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 15
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 14
- 238000012546 transfer Methods 0.000 description 13
- 230000007246 mechanism Effects 0.000 description 12
- 150000002431 hydrogen Chemical class 0.000 description 10
- 239000000543 intermediate Substances 0.000 description 10
- 239000000376 reactant Substances 0.000 description 10
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 9
- 229910052786 argon Inorganic materials 0.000 description 9
- 239000000203 mixture Substances 0.000 description 9
- 230000004888 barrier function Effects 0.000 description 8
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 8
- 238000009826 distribution Methods 0.000 description 7
- 230000003628 erosive effect Effects 0.000 description 7
- 239000012071 phase Substances 0.000 description 7
- -1 annealing Substances 0.000 description 6
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 6
- 238000005137 deposition process Methods 0.000 description 6
- 238000001208 nuclear magnetic resonance pulse sequence Methods 0.000 description 6
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 5
- 208000031481 Pathologic Constriction Diseases 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- 239000010703 silicon Substances 0.000 description 5
- 208000037804 stenosis Diseases 0.000 description 5
- 239000010936 titanium Substances 0.000 description 5
- KPGXUAIFQMJJFB-UHFFFAOYSA-H tungsten hexachloride Chemical compound Cl[W](Cl)(Cl)(Cl)(Cl)Cl KPGXUAIFQMJJFB-UHFFFAOYSA-H 0.000 description 5
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 4
- 239000012159 carrier gas Substances 0.000 description 4
- 238000006243 chemical reaction Methods 0.000 description 4
- 239000003153 chemical reaction reagent Substances 0.000 description 4
- 238000009792 diffusion process Methods 0.000 description 4
- 238000005530 etching Methods 0.000 description 4
- 239000001307 helium Substances 0.000 description 4
- 229910052734 helium Inorganic materials 0.000 description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 4
- 230000004941 influx Effects 0.000 description 4
- 150000004767 nitrides Chemical class 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- 230000036262 stenosis Effects 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 229910052719 titanium Inorganic materials 0.000 description 4
- WIDQNNDDTXUPAN-UHFFFAOYSA-I tungsten(v) chloride Chemical compound Cl[W](Cl)(Cl)(Cl)Cl WIDQNNDDTXUPAN-UHFFFAOYSA-I 0.000 description 4
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- OQPDWFJSZHWILH-UHFFFAOYSA-N [Al].[Al].[Al].[Ti] Chemical compound [Al].[Al].[Al].[Ti] OQPDWFJSZHWILH-UHFFFAOYSA-N 0.000 description 3
- 229910000085 borane Inorganic materials 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 238000007796 conventional method Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- OYMJNIHGVDEDFX-UHFFFAOYSA-J molybdenum tetrachloride Chemical compound Cl[Mo](Cl)(Cl)Cl OYMJNIHGVDEDFX-UHFFFAOYSA-J 0.000 description 3
- BQBYSLAFGRVJME-UHFFFAOYSA-L molybdenum(2+);dichloride Chemical compound Cl[Mo]Cl BQBYSLAFGRVJME-UHFFFAOYSA-L 0.000 description 3
- 125000002524 organometallic group Chemical group 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- BGRYSGVIVVUJHH-UHFFFAOYSA-N prop-2-ynyl propanoate Chemical compound CCC(=O)OCC#C BGRYSGVIVVUJHH-UHFFFAOYSA-N 0.000 description 3
- 229910000077 silane Inorganic materials 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 229910021324 titanium aluminide Inorganic materials 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- 239000012790 adhesive layer Substances 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 239000013590 bulk material Substances 0.000 description 2
- 238000004422 calculation algorithm Methods 0.000 description 2
- 230000003197 catalytic effect Effects 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000010790 dilution Methods 0.000 description 2
- 239000012895 dilution Substances 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 230000000977 initiatory effect Effects 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 150000001247 metal acetylides Chemical class 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- GICWIDZXWJGTCI-UHFFFAOYSA-I molybdenum pentachloride Chemical compound Cl[Mo](Cl)(Cl)(Cl)Cl GICWIDZXWJGTCI-UHFFFAOYSA-I 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 2
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 2
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 2
- 239000011800 void material Substances 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- 206010016717 Fistula Diseases 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910008484 TiSi Inorganic materials 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 239000012298 atmosphere Substances 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- KCBGPORQPUTBDJ-UHFFFAOYSA-N carbon monoxide;tungsten Chemical compound O=C=[W] KCBGPORQPUTBDJ-UHFFFAOYSA-N 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- UDJQAOMQLIIJIE-UHFFFAOYSA-L dichlorotungsten Chemical compound Cl[W]Cl UDJQAOMQLIIJIE-UHFFFAOYSA-L 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000005429 filling process Methods 0.000 description 1
- 230000003890 fistula Effects 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 238000010574 gas phase reaction Methods 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 230000002452 interceptive effect Effects 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 230000014759 maintenance of location Effects 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 229910000073 phosphorus hydride Inorganic materials 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000012805 post-processing Methods 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 238000009877 rendering Methods 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 239000011573 trace mineral Substances 0.000 description 1
- 235000013619 trace mineral Nutrition 0.000 description 1
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 1
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 1
- 229910021342 tungsten silicide Inorganic materials 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
- C23C16/14—Deposition of only one other metal element
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/54—Apparatus specially adapted for continuous coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28167—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
- H01L21/28194—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/20—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/30—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
- H10B41/35—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01074—Tungsten [W]
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/20—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B43/23—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B43/27—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
- Semiconductor Memories (AREA)
- Non-Volatile Memory (AREA)
Abstract
【選択図】図3
Description
PCT申請書は、本出願の一部として本明細書と併せて提出される。併せて提出されるPCT申請書に挙げるように、本出願が利益または優先権を主張する各出願は、その全体があらゆる目的のために参照により本明細書に組み込まれる。
開示される実施形態を実施するために、任意の適切なチャンバを使用してよい。堆積装置の例として様々なシステムが含まれ、例えば、カリフォルニア州フリーモントのラムリサーチ社(Lam Research Corp.)から入手可能なALTUS(登録商標)およびALTUS(登録商標)Max、または他の様々な市販の処理システムのいずれかが含まれる。いくつかの実施形態において、順次化学気相成長(CVD)は、シングル成膜チャンバ内に配置された2つ、5つ、またはさらに多くの成膜ステーションのうちの1つである第1のステーションで実施されてよい。その場合、第1のステーションにおいて、例えば、水素(H2)と六塩化タングステン(WCl6)を、交互のパルスで、基板表面で局所雰囲気を生成する個々のガス供給システムを用いて半導体基板の表面に導入してよい。フッ素フリータングステン堆積、またはCVDのために、他のステーションを使用してよい。並行処理でタングステンを堆積させるために、2つ以上のステーションを使用してよい。あるいは、順次CVDオペレーションが、2つ以上のステーションに跨って順次実行されるように、ウェハにインデックスを付けてよい。
上記の実施形態は、明確な理解を目的として、ある程度詳細に記載しているが、添付の特許請求の範囲の範囲内でいくらかの変更および変形を実施してよいことは明らかであろう。なお、本発明の実施形態のプロセス、システム、および装置を実現する数多くの代替的形態があることに留意すべきである。よって、本発明の実施形態は例示とみなされるべきであって、限定するものではなく、また、実施形態は、本明細書で提示した詳細に限定されるものではない。
Claims (38)
- 方法であって、
金属含有材料で充填すべき構造を準備することと、
前記構造を複数回の堆積サイクルに暴露することと、を含み、各堆積サイクルは、
水素(H2)パルスへの暴露と、その後の不活性ガスパージパルスへの暴露と、
複数回の交互の金属前駆体パルスと不活性ガスパージパルスへの暴露と、を含む、方法。 - 請求項1に記載の方法であって、前記金属は、タングステン(W)またはモリブデン(Mo)である、方法。
- 請求項1に記載の方法であって、前記金属前駆体は、塩素含有金属前駆体である、方法。
- 請求項3に記載の方法であって、前記塩素含有金属前駆体は、塩化タングステンまたはオキシ塩化タングステンを含む、方法。
- 請求項4に記載の方法であって、前記塩素含有金属前駆体は、WCl5およびWCl6のうちの少なくとも1つを含む、方法。
- 請求項3に記載の方法であって、前記塩素含有金属前駆体は、塩化モリブデンまたはオキシ塩化モリブデンを含む、方法。
- 請求項6に記載の方法であって、前記塩素含有金属前駆体は、MoCl5、MoO2Cl2、およびMoOCl4のうちの少なくとも1つを含む、方法。
- 請求項3に記載の方法であって、前記塩素含有金属前駆体のパルスは、体積で約0.1%〜約1.5%の間の塩素含有タングステン前駆体を含む、方法。
- 請求項1に記載の方法であって、複数回の交互の金属前駆体パルスと不活性ガスパージパルスへの前記暴露は、前記金属前駆体パルスの最中に前記不活性ガスパージの流れをオフにすることを含む、方法。
- 請求項1に記載の方法であって、前記不活性ガスパージパルスの継続時間は、前記金属前駆体パルスの継続時間の少なくとも1.5倍である、方法。
- 請求項1に記載の方法であって、各堆積サイクルは、少なくとも5回の交互の金属前駆体パルスと不活性ガスパージパルスを含む、方法。
- 請求項1に記載の方法であって、各堆積サイクルは、少なくとも10回の交互の金属前駆体パルスと不活性ガスパージパルスを含む、方法。
- 請求項1に記載の方法であって、各堆積サイクルは、1回のみのH2パルスを含む、方法。
- 請求項1に記載の方法であって、各堆積サイクルは、複数回の交互のH2パルスと不活性ガスパルスを含む、方法。
- 請求項1に記載の方法であって、前記構造は、製造途中の3次元(3D)NAND構造であって、前記3D NAND構造は、側壁と、前記側壁に複数の開口部と、を有し、前記開口部は、前記開口部を通して流体アクセス可能な複数の内部領域を有する複数のフィーチャにつながっている、方法。
- 装置であって、
基板を保持するようにそれぞれ構成された1つ以上の処理チャンバと、
水素(H2)ガス源、金属前駆体ガス源、および不活性パージガス源に結合するための1つ以上の処理ガス入口と、
前記装置におけるオペレーションを制御するためのコントローラと、を備え、前記コントローラは、複数回の堆積サイクルを実行するためのマシン可読命令を含み、各堆積サイクルは、
前記1つ以上の処理ガス入口を介して前記1つ以上の処理チャンバに水素(H2)パルスを注入することと、
前記H2パルスの注入後に、前記1つ以上の処理ガス入口を介して前記1つ以上の処理チャンバに不活性パージガスパルスを注入することと、
前記不活性パージガスパルスの注入後に、前記1つ以上の処理ガス入口を介して前記1つ以上の処理チャンバに複数回の交互の金属前駆体パルスと不活性ガスパージパルスを注入することと、を含む、装置。 - 請求項16に記載の装置であって、前記金属前駆体は、塩素含有前駆体である、装置。
- 請求項17に記載の装置であって、前記金属前駆体は、塩化タングステン、オキシ塩化タングステン、塩化モリブデン、およびオキシ塩化モリブデンのうちの少なくとも1つを含む、装置。
- 請求項16に記載の装置であって、前記命令は、前記金属前駆体パルスの最中に前記不活性ガスパージの流れをオフにするための命令を含む、装置。
- 請求項16に記載の装置であって、前記不活性ガスパージパルスの継続時間は、前記金属前駆体パルスの継続時間の少なくとも1.5倍である、装置。
- 請求項16に記載の装置であって、各堆積サイクルは、少なくとも5回の交互の金属前駆体パルスと不活性ガスパージパルスを含む、装置。
- 方法であって、
金属含有材料で充填すべき構造を準備することと、
前記構造を複数回の堆積サイクルに暴露することと、を含み、各堆積サイクルは、
複数回の交互の水素(H2)パルスと不活性ガスパージパルスへの暴露と、
金属前駆体パルスおよび、続く不活性ガスパージパルスへの暴露と、を含む、方法。 - 請求項22に記載の方法であって、前記金属は、タングステン(W)またはモリブデン(Mo)である、方法。
- 請求項22に記載の方法であって、前記金属前駆体は、塩素含有前駆体である、方法。
- 請求項24に記載の方法であって、前記塩素含有金属前駆体は、塩化タングステンまたはオキシ塩化タングステンを含む、方法。
- 請求項24に記載の方法であって、前記塩素含有金属前駆体は、塩化モリブデンまたはオキシ塩化モリブデンを含む、方法。
- 請求項24に記載の方法であって、前記塩素含有金属前駆体は、WCl5、WCl6、MoCl5、MoO2Cl2、およびMoOCl4のうちの少なくとも1つを含む、方法。
- 請求項24に記載の方法であって、前記塩素含有金属前駆体のパルスは、体積で約0.1%〜約1.5%の間の塩素含有タングステン前駆体を含む、方法。
- 請求項22に記載の方法であって、複数回の交互のH2パルスと不活性ガスパージパルスへの前記暴露は、前記H2パルスの最中に前記不活性ガスパージの流れをオフにすることを含む、方法。
- 請求項22に記載の方法であって、前記不活性ガスパージパルスの継続時間は、前記H2パルスの継続時間の少なくとも1.5倍である、方法。
- 請求項22に記載の方法であって、各堆積サイクルは、少なくとも5回の交互のH2パルスと不活性ガスパージパルスを含む、方法。
- 請求項22に記載の方法であって、各堆積サイクルは、少なくとも10回の交互の金属前駆体パルスと不活性ガスパージパルスを含む、方法。
- 請求項22に記載の方法であって、各堆積サイクルは、1回のみの金属前駆体パルスを含む、方法。
- 請求項22に記載の方法であって、各堆積サイクルは、複数回の交互の金属前駆体パルスと不活性ガスパージパルスを含む、方法。
- 請求項22に記載の方法であって、前記構造は、製造途中の3次元(3D)NAND構造であって、前記3D NAND構造は、側壁と、前記側壁に複数の開口部と、を有し、前記開口部は、前記開口部を通して流体アクセス可能な複数の内部領域を有する複数のフィーチャにつながっている、方法。
- 装置であって、
基板を保持するようにそれぞれ構成された1つ以上の処理チャンバと、
水素(H2)ガス源、金属前駆体ガス源、および不活性パージガス源に結合するための1つ以上の処理ガス入口と、
前記装置におけるオペレーションを制御するためのコントローラと、を備え、前記コントローラは、複数回の堆積サイクルを実行するためのマシン可読命令を含み、各堆積サイクルは、
前記1つ以上の処理ガス入口を介して前記1つ以上の処理チャンバに複数回の交互の水素(H2)パルスと不活性ガスパージパルスを注入することと、
前記1つ以上の処理ガス入口を介して前記1つ以上の処理チャンバに金属前駆体パルスを注入することと、
前記1つ以上の処理ガス入口を介して前記1つ以上の処理チャンバにパージガスパルスを注入することと、を含む、装置。 - 請求項36に記載の装置であって、前記金属前駆体は、塩素含有前駆体である、装置。
- 請求項37に記載の装置であって、前記金属前駆体は、塩化タングステン、オキシ塩化タングステン、塩化モリブデン、およびオキシ塩化モリブデンのうちの少なくとも1つを含む、装置。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862666588P | 2018-05-03 | 2018-05-03 | |
US62/666,588 | 2018-05-03 | ||
PCT/US2019/030712 WO2019213604A1 (en) | 2018-05-03 | 2019-05-03 | Method of depositing tungsten and other metals in 3d nand structures |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2021523292A true JP2021523292A (ja) | 2021-09-02 |
JPWO2019213604A5 JPWO2019213604A5 (ja) | 2022-05-02 |
Family
ID=68386126
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2020561743A Pending JP2021523292A (ja) | 2018-05-03 | 2019-05-03 | 3d nand構造内にタングステンおよび他の金属を堆積させる方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US11549175B2 (ja) |
JP (1) | JP2021523292A (ja) |
KR (1) | KR20200140391A (ja) |
CN (1) | CN112262457A (ja) |
WO (1) | WO2019213604A1 (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP7486588B2 (ja) | 2020-01-16 | 2024-05-17 | インテグリス・インコーポレーテッド | エッチングまたは堆積のための方法 |
Families Citing this family (183)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
KR20200032756A (ko) | 2017-08-14 | 2020-03-26 | 램 리써치 코포레이션 | 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스 |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
KR20200108016A (ko) | 2018-01-19 | 2020-09-16 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
CN112292478A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
CN113424300A (zh) | 2018-12-14 | 2021-09-21 | 朗姆研究公司 | 在3d nand结构上的原子层沉积 |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
JP2022523689A (ja) | 2019-01-28 | 2022-04-26 | ラム リサーチ コーポレーション | 金属膜の蒸着 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
CN111593319B (zh) | 2019-02-20 | 2023-05-30 | Asm Ip私人控股有限公司 | 用于填充在衬底表面内形成的凹部的循环沉积方法和设备 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20210127262A (ko) | 2019-03-11 | 2021-10-21 | 램 리써치 코포레이션 | 몰리브덴-함유 막들의 증착을 위한 전구체들 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US12002679B2 (en) | 2019-04-11 | 2024-06-04 | Lam Research Corporation | High step coverage tungsten deposition |
WO2020214732A1 (en) * | 2019-04-19 | 2020-10-22 | Lam Research Corporation | Rapid flush purging during atomic layer deposition |
US11447864B2 (en) * | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
TW202129068A (zh) | 2020-01-20 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 形成薄膜之方法及修飾薄膜表面之方法 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
FR3108920B1 (fr) * | 2020-04-07 | 2022-07-22 | Commissariat Energie Atomique | Procede de depot d’un film metallique de tungstene ou de molybdene par ald |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202140831A (zh) | 2020-04-24 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含氮化釩層及包含該層的結構之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP7433132B2 (ja) * | 2020-05-19 | 2024-02-19 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR20210145080A (ko) | 2020-05-22 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220006455A (ko) | 2020-07-08 | 2022-01-17 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
KR20230104735A (ko) * | 2020-12-17 | 2023-07-10 | 가부시키가이샤 코쿠사이 엘렉트릭 | 기판 처리 방법, 프로그램, 기판 처리 장치 및 반도체 장치의 제조 방법 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
CN117460859A (zh) | 2021-05-07 | 2024-01-26 | 恩特格里斯公司 | 钼或钨材料的沉积方法 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US20230290679A1 (en) * | 2022-03-09 | 2023-09-14 | Applied Materials, Inc. | Tungsten molybdenum structures |
WO2024102866A1 (en) * | 2022-11-10 | 2024-05-16 | Lam Research Corporation | Pulse ald sequence for low fluorine wn deposition |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2017053024A (ja) * | 2015-08-07 | 2017-03-16 | ラム リサーチ コーポレーションLam Research Corporation | タングステン堆積充填の強化のためのタングステンの原子層エッチング |
US20170306479A1 (en) * | 2016-04-21 | 2017-10-26 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US20170306490A1 (en) * | 2016-04-25 | 2017-10-26 | Applied Materials, Inc. | Enhanced Spatial ALD Of Metals Through Controlled Precursor Mixing |
Family Cites Families (348)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FI117944B (fi) | 1999-10-15 | 2007-04-30 | Asm Int | Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi |
US6482262B1 (en) | 1959-10-10 | 2002-11-19 | Asm Microchemistry Oy | Deposition of transition metal carbides |
JPS5629648A (en) | 1979-08-16 | 1981-03-25 | Toshiba Tungaloy Co Ltd | High hardness sintered body |
JPS62216224A (ja) | 1986-03-17 | 1987-09-22 | Fujitsu Ltd | タングステンの選択成長方法 |
JPS62260340A (ja) | 1986-05-06 | 1987-11-12 | Toshiba Corp | 半導体装置の製造方法 |
US4746375A (en) | 1987-05-08 | 1988-05-24 | General Electric Company | Activation of refractory metal surfaces for electroless plating |
US4962063A (en) | 1988-11-10 | 1990-10-09 | Applied Materials, Inc. | Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing |
JPH02187031A (ja) | 1989-01-14 | 1990-07-23 | Sharp Corp | 半導体装置 |
US5250329A (en) | 1989-04-06 | 1993-10-05 | Microelectronics And Computer Technology Corporation | Method of depositing conductive lines on a dielectric |
GB8907898D0 (en) | 1989-04-07 | 1989-05-24 | Inmos Ltd | Semiconductor devices and fabrication thereof |
US5028565A (en) | 1989-08-25 | 1991-07-02 | Applied Materials, Inc. | Process for CVD deposition of tungsten layer on semiconductor wafer |
EP1069610A2 (en) | 1990-01-08 | 2001-01-17 | Lsi Logic Corporation | Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus |
KR100209856B1 (ko) | 1990-08-31 | 1999-07-15 | 가나이 쓰도무 | 반도체장치의 제조방법 |
JPH04142061A (ja) | 1990-10-02 | 1992-05-15 | Sony Corp | タングステンプラグの形成方法 |
US5250467A (en) | 1991-03-29 | 1993-10-05 | Applied Materials, Inc. | Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer |
US5308655A (en) | 1991-08-16 | 1994-05-03 | Materials Research Corporation | Processing for forming low resistivity titanium nitride films |
US5567583A (en) | 1991-12-16 | 1996-10-22 | Biotronics Corporation | Methods for reducing non-specific priming in DNA detection |
JPH05226280A (ja) | 1992-02-14 | 1993-09-03 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
US5370739A (en) | 1992-06-15 | 1994-12-06 | Materials Research Corporation | Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD |
US5326723A (en) | 1992-09-09 | 1994-07-05 | Intel Corporation | Method for improving stability of tungsten chemical vapor deposition |
JP2536377B2 (ja) | 1992-11-27 | 1996-09-18 | 日本電気株式会社 | 半導体装置およびその製造方法 |
KR950012738B1 (ko) | 1992-12-10 | 1995-10-20 | 현대전자산업주식회사 | 반도체소자의 텅스텐 콘택 플러그 제조방법 |
JP3014019B2 (ja) | 1993-11-26 | 2000-02-28 | 日本電気株式会社 | 半導体装置の製造方法 |
KR970009867B1 (ko) | 1993-12-17 | 1997-06-18 | 현대전자산업 주식회사 | 반도체 소자의 텅스텐 실리사이드 형성방법 |
JP3291889B2 (ja) | 1994-02-15 | 2002-06-17 | ソニー株式会社 | ドライエッチング方法 |
US5643394A (en) | 1994-09-16 | 1997-07-01 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
DE69518710T2 (de) | 1994-09-27 | 2001-05-23 | Applied Materials Inc | Verfahren zum Behandeln eines Substrats in einer Vakuumbehandlungskammer |
JPH08115984A (ja) | 1994-10-17 | 1996-05-07 | Hitachi Ltd | 半導体装置及びその製造方法 |
US5545581A (en) | 1994-12-06 | 1996-08-13 | International Business Machines Corporation | Plug strap process utilizing selective nitride and oxide etches |
US6001729A (en) | 1995-01-10 | 1999-12-14 | Kawasaki Steel Corporation | Method of forming wiring structure for semiconductor device |
JP2737764B2 (ja) | 1995-03-03 | 1998-04-08 | 日本電気株式会社 | 半導体装置及びその製造方法 |
JPH0922896A (ja) | 1995-07-07 | 1997-01-21 | Toshiba Corp | 金属膜の選択的形成方法 |
JPH0927596A (ja) | 1995-07-11 | 1997-01-28 | Sanyo Electric Co Ltd | 半導体装置の製造方法 |
US5863819A (en) | 1995-10-25 | 1999-01-26 | Micron Technology, Inc. | Method of fabricating a DRAM access transistor with dual gate oxide technique |
TW310461B (ja) | 1995-11-10 | 1997-07-11 | Matsushita Electric Ind Co Ltd | |
US6017818A (en) | 1996-01-22 | 2000-01-25 | Texas Instruments Incorporated | Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density |
US5833817A (en) | 1996-04-22 | 1998-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers |
US5633200A (en) | 1996-05-24 | 1997-05-27 | Micron Technology, Inc. | Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer |
US5963833A (en) | 1996-07-03 | 1999-10-05 | Micron Technology, Inc. | Method for cleaning semiconductor wafers and |
US5916634A (en) | 1996-10-01 | 1999-06-29 | Sandia Corporation | Chemical vapor deposition of W-Si-N and W-B-N |
KR100214852B1 (ko) | 1996-11-02 | 1999-08-02 | 김영환 | 반도체 디바이스의 금속 배선 형성 방법 |
US6310300B1 (en) | 1996-11-08 | 2001-10-30 | International Business Machines Corporation | Fluorine-free barrier layer between conductor and insulator for degradation prevention |
KR100255516B1 (ko) | 1996-11-28 | 2000-05-01 | 김영환 | 반도체 장치의 금속배선 및 그 형성방법 |
US6297152B1 (en) | 1996-12-12 | 2001-10-02 | Applied Materials, Inc. | CVD process for DCS-based tungsten silicide |
JP3090074B2 (ja) | 1997-01-20 | 2000-09-18 | 日本電気株式会社 | 半導体装置及びその製造方法 |
US5804249A (en) | 1997-02-07 | 1998-09-08 | Lsi Logic Corporation | Multistep tungsten CVD process with amorphization step |
US6156382A (en) | 1997-05-16 | 2000-12-05 | Applied Materials, Inc. | Chemical vapor deposition process for depositing tungsten |
US6037248A (en) | 1997-06-13 | 2000-03-14 | Micron Technology, Inc. | Method of fabricating integrated circuit wiring with low RC time delay |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
US5956609A (en) | 1997-08-11 | 1999-09-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for reducing stress and improving step-coverage of tungsten interconnects and plugs |
US5795824A (en) | 1997-08-28 | 1998-08-18 | Novellus Systems, Inc. | Method for nucleation of CVD tungsten films |
US5913145A (en) | 1997-08-28 | 1999-06-15 | Texas Instruments Incorporated | Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures |
US5926720A (en) | 1997-09-08 | 1999-07-20 | Lsi Logic Corporation | Consistent alignment mark profiles on semiconductor wafers using PVD shadowing |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US7829144B2 (en) | 1997-11-05 | 2010-11-09 | Tokyo Electron Limited | Method of forming a metal film for electrode |
US6099904A (en) | 1997-12-02 | 2000-08-08 | Applied Materials, Inc. | Low resistivity W using B2 H6 nucleation step |
US6114242A (en) | 1997-12-05 | 2000-09-05 | Taiwan Semiconductor Manufacturing Company | MOCVD molybdenum nitride diffusion barrier for Cu metallization |
US6103609A (en) | 1997-12-11 | 2000-08-15 | Lg Semicon Co., Ltd. | Method for fabricating semiconductor device |
KR100272523B1 (ko) | 1998-01-26 | 2000-12-01 | 김영환 | 반도체소자의배선형성방법 |
US6284316B1 (en) | 1998-02-25 | 2001-09-04 | Micron Technology, Inc. | Chemical vapor deposition of titanium |
JPH11260759A (ja) | 1998-03-12 | 1999-09-24 | Fujitsu Ltd | 半導体装置の製造方法 |
US6452276B1 (en) | 1998-04-30 | 2002-09-17 | International Business Machines Corporation | Ultra thin, single phase, diffusion barrier for metal conductors |
US6066366A (en) | 1998-07-22 | 2000-05-23 | Applied Materials, Inc. | Method for depositing uniform tungsten layers by CVD |
US6143082A (en) | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
KR100273767B1 (ko) | 1998-10-28 | 2001-01-15 | 윤종용 | 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자 |
US6037263A (en) | 1998-11-05 | 2000-03-14 | Vanguard International Semiconductor Corporation | Plasma enhanced CVD deposition of tungsten and tungsten compounds |
US6331483B1 (en) | 1998-12-18 | 2001-12-18 | Tokyo Electron Limited | Method of film-forming of tungsten |
KR100296126B1 (ko) | 1998-12-22 | 2001-08-07 | 박종섭 | 고집적 메모리 소자의 게이트전극 형성방법 |
US20010014533A1 (en) | 1999-01-08 | 2001-08-16 | Shih-Wei Sun | Method of fabricating salicide |
JP3206578B2 (ja) | 1999-01-11 | 2001-09-10 | 日本電気株式会社 | 多層配線構造をもつ半導体装置の製造方法 |
JP4570704B2 (ja) | 1999-02-17 | 2010-10-27 | 株式会社アルバック | バリア膜製造方法 |
US6958174B1 (en) | 1999-03-15 | 2005-10-25 | Regents Of The University Of Colorado | Solid material comprising a thin metal film on its surface and methods for producing the same |
US6306211B1 (en) | 1999-03-23 | 2001-10-23 | Matsushita Electric Industrial Co., Ltd. | Method for growing semiconductor film and method for fabricating semiconductor device |
TW452607B (en) | 1999-03-26 | 2001-09-01 | Nat Science Council | Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal |
US6245654B1 (en) | 1999-03-31 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company, Ltd | Method for preventing tungsten contact/via plug loss after a backside pressure fault |
US6294468B1 (en) | 1999-05-24 | 2001-09-25 | Agere Systems Guardian Corp. | Method of chemical vapor depositing tungsten films |
US6720261B1 (en) | 1999-06-02 | 2004-04-13 | Agere Systems Inc. | Method and system for eliminating extrusions in semiconductor vias |
US6174812B1 (en) | 1999-06-08 | 2001-01-16 | United Microelectronics Corp. | Copper damascene technology for ultra large scale integration circuits |
US6355558B1 (en) | 1999-06-10 | 2002-03-12 | Texas Instruments Incorporated | Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films |
US6309964B1 (en) | 1999-07-08 | 2001-10-30 | Taiwan Semiconductor Manufacturing Company | Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug |
KR100319494B1 (ko) | 1999-07-15 | 2002-01-09 | 김용일 | 원자층 에피택시 공정을 위한 반도체 박막 증착장치 |
US6265312B1 (en) | 1999-08-02 | 2001-07-24 | Stmicroelectronics, Inc. | Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6309966B1 (en) | 1999-09-03 | 2001-10-30 | Motorola, Inc. | Apparatus and method of a low pressure, two-step nucleation tungsten deposition |
US6303480B1 (en) | 1999-09-13 | 2001-10-16 | Applied Materials, Inc. | Silicon layer to improve plug filling by CVD |
US6924226B2 (en) | 1999-10-02 | 2005-08-02 | Uri Cohen | Methods for making multiple seed layers for metallic interconnects |
US6610151B1 (en) | 1999-10-02 | 2003-08-26 | Uri Cohen | Seed layers for interconnects and methods and apparatus for their fabrication |
US6902763B1 (en) | 1999-10-15 | 2005-06-07 | Asm International N.V. | Method for depositing nanolaminate thin films on sensitive surfaces |
KR100330163B1 (ko) | 2000-01-06 | 2002-03-28 | 윤종용 | 반도체 장치의 텅스텐 콘택 플러그 형성 방법 |
FI20000099A0 (fi) * | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | Menetelmä metalliohutkalvojen kasvattamiseksi |
US6277744B1 (en) | 2000-01-21 | 2001-08-21 | Advanced Micro Devices, Inc. | Two-level silane nucleation for blanket tungsten deposition |
US6777331B2 (en) | 2000-03-07 | 2004-08-17 | Simplus Systems Corporation | Multilayered copper structure for improving adhesion property |
US6429126B1 (en) | 2000-03-29 | 2002-08-06 | Applied Materials, Inc. | Reduced fluorine contamination for tungsten CVD |
JP2001284360A (ja) | 2000-03-31 | 2001-10-12 | Hitachi Ltd | 半導体装置 |
JP5184731B2 (ja) | 2000-05-18 | 2013-04-17 | コーニング インコーポレイテッド | 固体酸化物燃料電池用可撓性電極/電解質構造体、燃料電池装置、およびその作成方法 |
JP3651360B2 (ja) | 2000-05-19 | 2005-05-25 | 株式会社村田製作所 | 電極膜の形成方法 |
US7253076B1 (en) | 2000-06-08 | 2007-08-07 | Micron Technologies, Inc. | Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
JP2002016066A (ja) | 2000-06-27 | 2002-01-18 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6936538B2 (en) | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US6585823B1 (en) | 2000-07-07 | 2003-07-01 | Asm International, N.V. | Atomic layer deposition |
US6491978B1 (en) | 2000-07-10 | 2002-12-10 | Applied Materials, Inc. | Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors |
US6218301B1 (en) | 2000-07-31 | 2001-04-17 | Applied Materials, Inc. | Deposition of tungsten films from W(CO)6 |
US6740591B1 (en) | 2000-11-16 | 2004-05-25 | Intel Corporation | Slurry and method for chemical mechanical polishing of copper |
JP2004514289A (ja) | 2000-11-17 | 2004-05-13 | 東京エレクトロン株式会社 | 金属配線の形成方法および金属配線形成用半導体製造装置 |
KR100375230B1 (ko) | 2000-12-20 | 2003-03-08 | 삼성전자주식회사 | 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법 |
US6908848B2 (en) | 2000-12-20 | 2005-06-21 | Samsung Electronics, Co., Ltd. | Method for forming an electrical interconnection providing improved surface morphology of tungsten |
US20020117399A1 (en) | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Atomically thin highly resistive barrier layer in a copper via |
US20020190379A1 (en) | 2001-03-28 | 2002-12-19 | Applied Materials, Inc. | W-CVD with fluorine-free tungsten nucleation |
US20030019428A1 (en) | 2001-04-28 | 2003-01-30 | Applied Materials, Inc. | Chemical vapor deposition chamber |
US20020168840A1 (en) | 2001-05-11 | 2002-11-14 | Applied Materials, Inc. | Deposition of tungsten silicide films |
US7141494B2 (en) | 2001-05-22 | 2006-11-28 | Novellus Systems, Inc. | Method for reducing tungsten film roughness and improving step coverage |
US7955972B2 (en) | 2001-05-22 | 2011-06-07 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten for high aspect ratio and small features |
US7262125B2 (en) | 2001-05-22 | 2007-08-28 | Novellus Systems, Inc. | Method of forming low-resistivity tungsten interconnects |
US7005372B2 (en) | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
US7589017B2 (en) | 2001-05-22 | 2009-09-15 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten film |
US9076843B2 (en) | 2001-05-22 | 2015-07-07 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US6635965B1 (en) | 2001-05-22 | 2003-10-21 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US6686278B2 (en) | 2001-06-19 | 2004-02-03 | United Microelectronics Corp. | Method for forming a plug metal layer |
US20070009658A1 (en) * | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
JP2005518088A (ja) | 2001-07-16 | 2005-06-16 | アプライド マテリアルズ インコーポレイテッド | タングステン複合膜の形成 |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
WO2003030224A2 (en) | 2001-07-25 | 2003-04-10 | Applied Materials, Inc. | Barrier formation using novel sputter-deposition method |
JP4032872B2 (ja) | 2001-08-14 | 2008-01-16 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
JP4595989B2 (ja) | 2001-08-24 | 2010-12-08 | 東京エレクトロン株式会社 | 成膜方法 |
JP4938962B2 (ja) * | 2001-09-14 | 2012-05-23 | エーエスエム インターナショナル エヌ.ヴェー. | ゲッタリング反応物を用いるaldによる金属窒化物堆積 |
US6607976B2 (en) | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
TW589684B (en) | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
JP2003142484A (ja) | 2001-10-31 | 2003-05-16 | Mitsubishi Electric Corp | 半導体装置の製造方法 |
US6566262B1 (en) | 2001-11-01 | 2003-05-20 | Lsi Logic Corporation | Method for creating self-aligned alloy capping layers for copper interconnect structures |
TWI253478B (en) | 2001-11-14 | 2006-04-21 | Mitsubishi Heavy Ind Ltd | Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus |
US20030091870A1 (en) | 2001-11-15 | 2003-05-15 | Siddhartha Bhowmik | Method of forming a liner for tungsten plugs |
KR20030050652A (ko) | 2001-12-19 | 2003-06-25 | 주식회사 하이닉스반도체 | 텅스텐막의 형성 방법 |
US20030123216A1 (en) | 2001-12-27 | 2003-07-03 | Yoon Hyungsuk A. | Deposition of tungsten for the formation of conformal tungsten silicide |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6566250B1 (en) | 2002-03-18 | 2003-05-20 | Taiwant Semiconductor Manufacturing Co., Ltd | Method for forming a self aligned capping layer |
US20030194825A1 (en) | 2002-04-10 | 2003-10-16 | Kam Law | Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
KR100485305B1 (ko) | 2002-05-10 | 2005-04-25 | 주식회사 웰스킨 | 스핑고신-1-포스페이트 및 그 유도체를 포함하는 미백화장료 조성물 |
US20030224217A1 (en) | 2002-05-31 | 2003-12-04 | Applied Materials, Inc. | Metal nitride formation |
US6905543B1 (en) | 2002-06-19 | 2005-06-14 | Novellus Systems, Inc | Methods of forming tungsten nucleation layer |
JP2005533178A (ja) | 2002-07-12 | 2005-11-04 | ザ プレジデント アンド フェロウズ オブ ハーバード カレッジ | 窒化タングステンの蒸着 |
TWI287559B (en) | 2002-08-22 | 2007-10-01 | Konica Corp | Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film |
US6706625B1 (en) | 2002-12-06 | 2004-03-16 | Chartered Semiconductor Manufacturing Ltd. | Copper recess formation using chemical process for fabricating barrier cap for lines and vias |
US6962873B1 (en) | 2002-12-10 | 2005-11-08 | Novellus Systems, Inc. | Nitridation of electrolessly deposited cobalt |
KR20050110613A (ko) | 2002-12-23 | 2005-11-23 | 어플라이드 씬 필름스 인코포레이티드 | 알루미늄 포스페이트 코팅 |
WO2004061154A1 (ja) | 2002-12-27 | 2004-07-22 | Ulvac Inc. | 窒化タングステン膜の成膜方法 |
JP2004235456A (ja) | 2003-01-30 | 2004-08-19 | Seiko Epson Corp | 成膜装置、成膜方法および半導体装置の製造方法 |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
JP3956049B2 (ja) | 2003-03-07 | 2007-08-08 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
US6844258B1 (en) | 2003-05-09 | 2005-01-18 | Novellus Systems, Inc. | Selective refractory metal and nitride capping |
US7211508B2 (en) | 2003-06-18 | 2007-05-01 | Applied Materials, Inc. | Atomic layer deposition of tantalum based barrier materials |
JP2005026380A (ja) | 2003-06-30 | 2005-01-27 | Toshiba Corp | 不揮発性メモリを含む半導体装置及びその製造方法 |
JP2005029821A (ja) | 2003-07-09 | 2005-02-03 | Tokyo Electron Ltd | 成膜方法 |
US7754604B2 (en) | 2003-08-26 | 2010-07-13 | Novellus Systems, Inc. | Reducing silicon attack and improving resistivity of tungsten nitride film |
JP4606006B2 (ja) | 2003-09-11 | 2011-01-05 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US6924223B2 (en) | 2003-09-30 | 2005-08-02 | Tokyo Electron Limited | Method of forming a metal layer using an intermittent precursor gas flow process |
US7078341B2 (en) | 2003-09-30 | 2006-07-18 | Tokyo Electron Limited | Method of depositing metal layers from metal-carbonyl precursors |
JP2005150416A (ja) | 2003-11-17 | 2005-06-09 | Hitachi Ltd | 半導体集積回路装置及びその製造方法 |
KR20050054122A (ko) * | 2003-12-04 | 2005-06-10 | 성명모 | 자외선 원자층 증착법을 이용한 박막 제조 방법 |
KR100557626B1 (ko) | 2003-12-23 | 2006-03-10 | 주식회사 하이닉스반도체 | 반도체 소자의 비트라인 형성 방법 |
US20050139838A1 (en) | 2003-12-26 | 2005-06-30 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and method for manufacturing semiconductor device |
KR100528030B1 (ko) | 2003-12-30 | 2005-11-15 | 주식회사 아이피에스 | 박막 증착 방법 |
KR101108304B1 (ko) | 2004-02-26 | 2012-01-25 | 노벨러스 시스템즈, 인코포레이티드 | 질화 텅스텐의 증착 |
CN100370585C (zh) | 2004-04-12 | 2008-02-20 | 株式会社爱发科 | 隔离膜的形成方法及电极膜的形成方法 |
WO2005104165A1 (en) | 2004-04-21 | 2005-11-03 | Philips Intellectual Property & Standards Gmbh | Method for the thermal treatment of tungsten electrodes free from thorium oxide for high-pressure discharge lamps |
US6987063B2 (en) | 2004-06-10 | 2006-01-17 | Freescale Semiconductor, Inc. | Method to reduce impurity elements during semiconductor film deposition |
US20050282384A1 (en) | 2004-06-17 | 2005-12-22 | Hidemi Nawafune | Method for forming protective film and electroless plating bath |
US7605469B2 (en) | 2004-06-30 | 2009-10-20 | Intel Corporation | Atomic layer deposited tantalum containing adhesion layer |
KR100615093B1 (ko) | 2004-08-24 | 2006-08-22 | 삼성전자주식회사 | 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법 |
US7250367B2 (en) | 2004-09-01 | 2007-07-31 | Micron Technology, Inc. | Deposition methods using heteroleptic precursors |
US20060068098A1 (en) | 2004-09-27 | 2006-03-30 | Tokyo Electron Limited | Deposition of ruthenium metal layers in a thermal chemical vapor deposition process |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US20060145190A1 (en) | 2004-12-31 | 2006-07-06 | Salzman David B | Surface passivation for III-V compound semiconductors |
KR100642750B1 (ko) | 2005-01-31 | 2006-11-10 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US20060246217A1 (en) | 2005-03-18 | 2006-11-02 | Weidman Timothy W | Electroless deposition process on a silicide contact |
US7344983B2 (en) | 2005-03-18 | 2008-03-18 | International Business Machines Corporation | Clustered surface preparation for silicide and metal contacts |
US7220671B2 (en) | 2005-03-31 | 2007-05-22 | Intel Corporation | Organometallic precursors for the chemical phase deposition of metal films in interconnect applications |
EP1728894B1 (en) | 2005-06-01 | 2008-10-15 | Interuniversitair Microelektronica Centrum ( Imec) | Atomic layer deposition (ald) method for producing a high quality layer |
JP4738178B2 (ja) | 2005-06-17 | 2011-08-03 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
JP4945937B2 (ja) | 2005-07-01 | 2012-06-06 | 東京エレクトロン株式会社 | タングステン膜の形成方法、成膜装置及び記憶媒体 |
JP4864368B2 (ja) | 2005-07-21 | 2012-02-01 | シャープ株式会社 | 気相堆積方法 |
US7517798B2 (en) | 2005-09-01 | 2009-04-14 | Micron Technology, Inc. | Methods for forming through-wafer interconnects and structures resulting therefrom |
US20070066060A1 (en) | 2005-09-19 | 2007-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and fabrication methods thereof |
US7235485B2 (en) | 2005-10-14 | 2007-06-26 | Samsung Electronics Co., Ltd. | Method of manufacturing semiconductor device |
US8993055B2 (en) | 2005-10-27 | 2015-03-31 | Asm International N.V. | Enhanced thin film deposition |
US7524765B2 (en) | 2005-11-02 | 2009-04-28 | Intel Corporation | Direct tailoring of the composition and density of ALD films |
US7276796B1 (en) | 2006-03-15 | 2007-10-02 | International Business Machines Corporation | Formation of oxidation-resistant seed layer for interconnect applications |
JP2007250907A (ja) | 2006-03-16 | 2007-09-27 | Renesas Technology Corp | 半導体装置およびその製造方法 |
US8258057B2 (en) | 2006-03-30 | 2012-09-04 | Intel Corporation | Copper-filled trench contact for transistor performance improvement |
TW200746268A (en) | 2006-04-11 | 2007-12-16 | Applied Materials Inc | Process for forming cobalt-containing materials |
US7828504B2 (en) | 2006-05-12 | 2010-11-09 | Axcellis Technologies, Inc. | Combination load lock for handling workpieces |
US7557047B2 (en) | 2006-06-09 | 2009-07-07 | Micron Technology, Inc. | Method of forming a layer of material using an atomic layer deposition process |
KR100884339B1 (ko) | 2006-06-29 | 2009-02-18 | 주식회사 하이닉스반도체 | 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법 |
US7355254B2 (en) | 2006-06-30 | 2008-04-08 | Intel Corporation | Pinning layer for low resistivity N-type source drain ohmic contacts |
KR100705936B1 (ko) | 2006-06-30 | 2007-04-13 | 주식회사 하이닉스반도체 | 반도체 소자의 비트라인 형성방법 |
US8278216B1 (en) | 2006-08-18 | 2012-10-02 | Novellus Systems, Inc. | Selective capping of copper |
US8153831B2 (en) | 2006-09-28 | 2012-04-10 | Praxair Technology, Inc. | Organometallic compounds, processes for the preparation thereof and methods of use thereof |
KR100894769B1 (ko) | 2006-09-29 | 2009-04-24 | 주식회사 하이닉스반도체 | 반도체 소자의 금속 배선 형성방법 |
KR100881391B1 (ko) | 2006-09-29 | 2009-02-05 | 주식회사 하이닉스반도체 | 반도체 소자의 게이트 형성방법 |
KR20080036679A (ko) | 2006-10-24 | 2008-04-29 | 삼성전자주식회사 | 불 휘발성 메모리 소자의 형성 방법 |
US7675119B2 (en) | 2006-12-25 | 2010-03-09 | Elpida Memory, Inc. | Semiconductor device and manufacturing method thereof |
KR100874829B1 (ko) | 2006-12-26 | 2008-12-19 | 동부일렉트로닉스 주식회사 | 반도체 소자의 금속배선 형성방법 |
KR20080061978A (ko) | 2006-12-28 | 2008-07-03 | 주식회사 하이닉스반도체 | 반도체 소자의 배선 형성방법 |
JP2008205219A (ja) | 2007-02-20 | 2008-09-04 | Masato Toshima | シャワーヘッドおよびこれを用いたcvd装置 |
US7786006B2 (en) | 2007-02-26 | 2010-08-31 | Tokyo Electron Limited | Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming |
CN100577866C (zh) | 2007-02-27 | 2010-01-06 | 中微半导体设备(上海)有限公司 | 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法 |
US8435898B2 (en) | 2007-04-05 | 2013-05-07 | Freescale Semiconductor, Inc. | First inter-layer dielectric stack for non-volatile memory |
US20080254619A1 (en) | 2007-04-14 | 2008-10-16 | Tsang-Jung Lin | Method of fabricating a semiconductor device |
US20080268642A1 (en) * | 2007-04-20 | 2008-10-30 | Kazutaka Yanagita | Deposition of transition metal carbide containing films |
CN101308794B (zh) | 2007-05-15 | 2010-09-15 | 应用材料股份有限公司 | 钨材料的原子层沉积 |
JP2008288289A (ja) | 2007-05-16 | 2008-11-27 | Oki Electric Ind Co Ltd | 電界効果トランジスタとその製造方法 |
US8017182B2 (en) * | 2007-06-21 | 2011-09-13 | Asm International N.V. | Method for depositing thin films by mixed pulsed CVD and ALD |
KR100890047B1 (ko) | 2007-06-28 | 2009-03-25 | 주식회사 하이닉스반도체 | 반도체소자의 배선 형성방법 |
US8142847B2 (en) | 2007-07-13 | 2012-03-27 | Rohm And Haas Electronic Materials Llc | Precursor compositions and methods |
US7655567B1 (en) | 2007-07-24 | 2010-02-02 | Novellus Systems, Inc. | Methods for improving uniformity and resistivity of thin tungsten films |
KR101225642B1 (ko) | 2007-11-15 | 2013-01-24 | 삼성전자주식회사 | H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법 |
US8609546B2 (en) | 2007-11-29 | 2013-12-17 | Lam Research Corporation | Pulsed bias plasma process to control microloading |
KR100939777B1 (ko) | 2007-11-30 | 2010-01-29 | 주식회사 하이닉스반도체 | 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법 |
US8080324B2 (en) | 2007-12-03 | 2011-12-20 | Kobe Steel, Ltd. | Hard coating excellent in sliding property and method for forming same |
US7772114B2 (en) | 2007-12-05 | 2010-08-10 | Novellus Systems, Inc. | Method for improving uniformity and adhesion of low resistivity tungsten film |
US8053365B2 (en) | 2007-12-21 | 2011-11-08 | Novellus Systems, Inc. | Methods for forming all tungsten contacts and lines |
KR100919808B1 (ko) | 2008-01-02 | 2009-10-01 | 주식회사 하이닉스반도체 | 반도체소자의 텅스텐막 형성방법 |
US8062977B1 (en) | 2008-01-31 | 2011-11-22 | Novellus Systems, Inc. | Ternary tungsten-containing resistive thin films |
KR20090101592A (ko) | 2008-03-24 | 2009-09-29 | 삼성전자주식회사 | 산화막 형성 방법 및 이를 이용한 게이트 형성 방법 |
KR101163825B1 (ko) | 2008-03-28 | 2012-07-09 | 도쿄엘렉트론가부시키가이샤 | 정전척 및 그 제조 방법 |
WO2009125255A1 (en) | 2008-04-11 | 2009-10-15 | Freescale Semiconductor, Inc. | Surface treatment in semiconductor manufacturing |
US8058170B2 (en) | 2008-06-12 | 2011-11-15 | Novellus Systems, Inc. | Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics |
US8385644B2 (en) | 2008-07-08 | 2013-02-26 | Zeitera, Llc | Digital video fingerprinting based on resultant weighted gradient orientation computation |
US7968460B2 (en) | 2008-06-19 | 2011-06-28 | Micron Technology, Inc. | Semiconductor with through-substrate interconnect |
US8551885B2 (en) | 2008-08-29 | 2013-10-08 | Novellus Systems, Inc. | Method for reducing tungsten roughness and improving reflectivity |
US20100062149A1 (en) | 2008-09-08 | 2010-03-11 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
KR20100029952A (ko) | 2008-09-09 | 2010-03-18 | 주식회사 하이닉스반도체 | 금속성 캡핑층을 구비한 상변화 메모리 소자 및 그 제조 방법 |
US20100072623A1 (en) | 2008-09-19 | 2010-03-25 | Advanced Micro Devices, Inc. | Semiconductor device with improved contact plugs, and related fabrication methods |
JP2010093116A (ja) | 2008-10-09 | 2010-04-22 | Panasonic Corp | 半導体装置及び半導体装置の製造方法 |
US20100120245A1 (en) | 2008-11-07 | 2010-05-13 | Agus Sofian Tjandra | Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films |
US7964502B2 (en) | 2008-11-25 | 2011-06-21 | Freescale Semiconductor, Inc. | Multilayered through via |
US7825024B2 (en) | 2008-11-25 | 2010-11-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming through-silicon vias |
US20100144140A1 (en) | 2008-12-10 | 2010-06-10 | Novellus Systems, Inc. | Methods for depositing tungsten films having low resistivity for gapfill applications |
US8129270B1 (en) | 2008-12-10 | 2012-03-06 | Novellus Systems, Inc. | Method for depositing tungsten film having low resistivity, low roughness and high reflectivity |
US8110877B2 (en) | 2008-12-19 | 2012-02-07 | Intel Corporation | Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions |
US8236691B2 (en) | 2008-12-31 | 2012-08-07 | Micron Technology, Inc. | Method of high aspect ratio plug fill |
US8071478B2 (en) | 2008-12-31 | 2011-12-06 | Applied Materials, Inc. | Method of depositing tungsten film with reduced resistivity and improved surface morphology |
KR20100096488A (ko) | 2009-02-24 | 2010-09-02 | 삼성전자주식회사 | 리세스 채널 구조를 갖는 반도체 소자 |
DE102009015747B4 (de) | 2009-03-31 | 2013-08-08 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht |
US9159571B2 (en) | 2009-04-16 | 2015-10-13 | Lam Research Corporation | Tungsten deposition process using germanium-containing reducing agent |
US8623733B2 (en) | 2009-04-16 | 2014-01-07 | Novellus Systems, Inc. | Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects |
US20110020546A1 (en) * | 2009-05-15 | 2011-01-27 | Asm International N.V. | Low Temperature ALD of Noble Metals |
US8039394B2 (en) | 2009-06-26 | 2011-10-18 | Seagate Technology Llc | Methods of forming layers of alpha-tantalum |
US9034768B2 (en) | 2010-07-09 | 2015-05-19 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US8119527B1 (en) | 2009-08-04 | 2012-02-21 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US8207062B2 (en) | 2009-09-09 | 2012-06-26 | Novellus Systems, Inc. | Method for improving adhesion of low resistivity tungsten/tungsten nitride layers |
SG10201407519TA (en) | 2009-11-19 | 2015-01-29 | Univ Singapore | Method For Producing T Cell Receptor-Like Monoclonal Antibodies And Uses Thereof |
DE102009055392B4 (de) | 2009-12-30 | 2014-05-22 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements |
US8642797B2 (en) | 2010-02-25 | 2014-02-04 | Air Products And Chemicals, Inc. | Amidate precursors for depositing metal containing films |
JP5729911B2 (ja) | 2010-03-11 | 2015-06-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | タングステン膜の製造方法およびタングステン膜を堆積させる装置 |
US8709948B2 (en) | 2010-03-12 | 2014-04-29 | Novellus Systems, Inc. | Tungsten barrier and seed for copper filled TSV |
KR101356332B1 (ko) | 2010-03-19 | 2014-02-04 | 노벨러스 시스템즈, 인코포레이티드 | 낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법 |
US9129945B2 (en) | 2010-03-24 | 2015-09-08 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
US8741394B2 (en) | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US20110256692A1 (en) | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
IL213195A0 (en) | 2010-05-31 | 2011-07-31 | Rohm & Haas Elect Mat | Photoresist compositions and emthods of forming photolithographic patterns |
TWI529808B (zh) | 2010-06-10 | 2016-04-11 | Asm國際股份有限公司 | 使膜選擇性沈積於基板上的方法 |
US8778797B2 (en) | 2010-09-27 | 2014-07-15 | Novellus Systems, Inc. | Systems and methods for selective tungsten deposition in vias |
TW201314739A (zh) | 2010-09-27 | 2013-04-01 | Astrowatt Inc | 包含半導體層及含金屬層之電子裝置及其形成方法 |
WO2012057884A1 (en) | 2010-10-29 | 2012-05-03 | Applied Materials, Inc. | Nitrogen-containing ligands and their use in atomic layer deposition methods |
US8969823B2 (en) | 2011-01-21 | 2015-03-03 | Uchicago Argonne, Llc | Microchannel plate detector and methods for their fabrication |
US20120199887A1 (en) | 2011-02-03 | 2012-08-09 | Lana Chan | Methods of controlling tungsten film properties |
US20120225191A1 (en) | 2011-03-01 | 2012-09-06 | Applied Materials, Inc. | Apparatus and Process for Atomic Layer Deposition |
US8865594B2 (en) | 2011-03-10 | 2014-10-21 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
US8546250B2 (en) | 2011-08-18 | 2013-10-01 | Wafertech Llc | Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another |
US8916435B2 (en) | 2011-09-09 | 2014-12-23 | International Business Machines Corporation | Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory |
JP5710529B2 (ja) | 2011-09-22 | 2015-04-30 | 株式会社東芝 | 半導体装置及びその製造方法 |
WO2013063260A1 (en) | 2011-10-28 | 2013-05-02 | Applied Materials, Inc. | High temperature tungsten metallization process |
US9112003B2 (en) | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
KR102131581B1 (ko) | 2012-03-27 | 2020-07-08 | 노벨러스 시스템즈, 인코포레이티드 | 텅스텐 피처 충진 |
US9034760B2 (en) | 2012-06-29 | 2015-05-19 | Novellus Systems, Inc. | Methods of forming tensile tungsten films and compressive tungsten films |
US9969622B2 (en) | 2012-07-26 | 2018-05-15 | Lam Research Corporation | Ternary tungsten boride nitride films and methods for forming same |
US8975184B2 (en) | 2012-07-27 | 2015-03-10 | Novellus Systems, Inc. | Methods of improving tungsten contact resistance in small critical dimension features |
KR101990051B1 (ko) | 2012-08-31 | 2019-10-01 | 에스케이하이닉스 주식회사 | 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법 |
KR20140028992A (ko) | 2012-08-31 | 2014-03-10 | 에스케이하이닉스 주식회사 | 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법 |
US8853080B2 (en) | 2012-09-09 | 2014-10-07 | Novellus Systems, Inc. | Method for depositing tungsten film with low roughness and low resistivity |
JP2014074190A (ja) | 2012-10-02 | 2014-04-24 | Tokyo Electron Ltd | 成膜装置 |
US9169556B2 (en) | 2012-10-11 | 2015-10-27 | Applied Materials, Inc. | Tungsten growth modulation by controlling surface composition |
US9546419B2 (en) | 2012-11-26 | 2017-01-17 | Applied Materials, Inc. | Method of reducing tungsten film roughness and resistivity |
WO2014140672A1 (en) | 2013-03-15 | 2014-09-18 | L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude | Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films |
US9153486B2 (en) | 2013-04-12 | 2015-10-06 | Lam Research Corporation | CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications |
US8975142B2 (en) | 2013-04-25 | 2015-03-10 | Globalfoundries Inc. | FinFET channel stress using tungsten contacts in raised epitaxial source and drain |
US9082826B2 (en) | 2013-05-24 | 2015-07-14 | Lam Research Corporation | Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features |
JP6494940B2 (ja) | 2013-07-25 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 異なるサイズのフィーチャへのボイドフリータングステン充填 |
US9362163B2 (en) | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
US9748105B2 (en) | 2013-08-16 | 2017-08-29 | Applied Materials, Inc. | Tungsten deposition with tungsten hexafluoride (WF6) etchback |
JP5864503B2 (ja) | 2013-09-30 | 2016-02-17 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体 |
JP6594304B2 (ja) | 2013-10-18 | 2019-10-23 | ブルックス オートメーション インコーポレイテッド | 処理装置 |
US9589808B2 (en) | 2013-12-19 | 2017-03-07 | Lam Research Corporation | Method for depositing extremely low resistivity tungsten |
JP2015177006A (ja) | 2014-03-14 | 2015-10-05 | 株式会社東芝 | 半導体装置及びその製造方法 |
JP6379550B2 (ja) | 2014-03-18 | 2018-08-29 | 東京エレクトロン株式会社 | 成膜装置 |
US9595470B2 (en) | 2014-05-09 | 2017-03-14 | Lam Research Corporation | Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor |
US20150348840A1 (en) | 2014-05-31 | 2015-12-03 | Lam Research Corporation | Methods of filling high aspect ratio features with fluorine free tungsten |
US9551074B2 (en) | 2014-06-05 | 2017-01-24 | Lam Research Corporation | Electroless plating solution with at least two borane containing reducing agents |
TWI656232B (zh) | 2014-08-14 | 2019-04-11 | 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 | 鉬組成物及其用於形成氧化鉬膜之用途 |
KR102156409B1 (ko) | 2014-09-16 | 2020-09-15 | 에스케이하이닉스 주식회사 | 패턴 형성 방법 |
JP2016098406A (ja) | 2014-11-21 | 2016-05-30 | 東京エレクトロン株式会社 | モリブデン膜の成膜方法 |
US9502263B2 (en) | 2014-12-15 | 2016-11-22 | Applied Materials, Inc. | UV assisted CVD AlN film for BEOL etch stop application |
US9443865B2 (en) | 2014-12-18 | 2016-09-13 | Sandisk Technologies Llc | Fabricating 3D NAND memory having monolithic crystalline silicon vertical NAND channel |
US9953984B2 (en) | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
TW201700761A (zh) | 2015-05-13 | 2017-01-01 | 應用材料股份有限公司 | 經由基材的有機金屬或矽烷預處理而改良的鎢膜 |
WO2016191432A1 (en) | 2015-05-27 | 2016-12-01 | Asm Ip Holding B.V. | Synthesis and use of precursors for ald of molybdenum or tungsten containing thin films |
US9978605B2 (en) * | 2015-05-27 | 2018-05-22 | Lam Research Corporation | Method of forming low resistivity fluorine free tungsten film without nucleation |
US9613818B2 (en) * | 2015-05-27 | 2017-04-04 | Lam Research Corporation | Deposition of low fluorine tungsten by sequential CVD process |
US9754824B2 (en) | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
JP6541438B2 (ja) | 2015-05-28 | 2019-07-10 | 東京エレクトロン株式会社 | 金属膜のストレス低減方法および金属膜の成膜方法 |
US10121671B2 (en) | 2015-08-28 | 2018-11-06 | Applied Materials, Inc. | Methods of depositing metal films using metal oxyhalide precursors |
US9853123B2 (en) | 2015-10-28 | 2017-12-26 | United Microelectronics Corp. | Semiconductor structure and fabrication method thereof |
US10535558B2 (en) | 2016-02-09 | 2020-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming trenches |
WO2017143246A1 (en) | 2016-02-19 | 2017-08-24 | Sigma-Aldrich Co., Llc | Deposition of molybdenum thin films using a molybdenum carbonyl precursor |
CN109661481B (zh) | 2016-07-14 | 2021-11-30 | 恩特格里斯公司 | 使用MoOC14的CVD Mo沉积 |
CN109563619A (zh) | 2016-07-26 | 2019-04-02 | 东京毅力科创株式会社 | 钨膜的成膜方法 |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US20180142345A1 (en) | 2016-11-23 | 2018-05-24 | Entegris, Inc. | Low temperature molybdenum film deposition utilizing boron nucleation layers |
US10453744B2 (en) | 2016-11-23 | 2019-10-22 | Entegris, Inc. | Low temperature molybdenum film deposition utilizing boron nucleation layers |
US10283404B2 (en) | 2017-03-30 | 2019-05-07 | Lam Research Corporation | Selective deposition of WCN barrier/adhesion layer for interconnect |
KR20230127377A (ko) | 2017-04-10 | 2023-08-31 | 램 리써치 코포레이션 | 몰리브덴을 함유하는 저 저항률 막들 |
KR20200032756A (ko) | 2017-08-14 | 2020-03-26 | 램 리써치 코포레이션 | 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스 |
US20190067003A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
WO2019099997A1 (en) | 2017-11-20 | 2019-05-23 | Lam Research Corporation | Self-limiting growth |
US11560625B2 (en) | 2018-01-19 | 2023-01-24 | Entegris, Inc. | Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor |
KR20210027507A (ko) | 2018-07-26 | 2021-03-10 | 램 리써치 코포레이션 | 순수 금속 막의 증착 |
WO2020028587A1 (en) | 2018-07-31 | 2020-02-06 | Lam Research Corporation | Multi-layer feature fill |
JP2022509621A (ja) | 2018-11-19 | 2022-01-21 | ラム リサーチ コーポレーション | タングステン用モリブデンテンプレート |
CN113424300A (zh) | 2018-12-14 | 2021-09-21 | 朗姆研究公司 | 在3d nand结构上的原子层沉积 |
JP2022523689A (ja) | 2019-01-28 | 2022-04-26 | ラム リサーチ コーポレーション | 金属膜の蒸着 |
KR20210127262A (ko) | 2019-03-11 | 2021-10-21 | 램 리써치 코포레이션 | 몰리브덴-함유 막들의 증착을 위한 전구체들 |
US12002679B2 (en) | 2019-04-11 | 2024-06-04 | Lam Research Corporation | High step coverage tungsten deposition |
JP2022533834A (ja) | 2019-05-22 | 2022-07-26 | ラム リサーチ コーポレーション | 核生成のないタングステン堆積 |
CN114269963A (zh) | 2019-08-12 | 2022-04-01 | 朗姆研究公司 | 钨沉积 |
JP2022544674A (ja) | 2019-08-22 | 2022-10-20 | ラム リサーチ コーポレーション | 半導体装置の製造における実質的に炭素を含まないモリブデン含有膜およびタングステン含有膜 |
CN114342062A (zh) | 2019-09-03 | 2022-04-12 | 朗姆研究公司 | 钼沉积 |
WO2021076636A1 (en) | 2019-10-15 | 2021-04-22 | Lam Research Corporation | Molybdenum fill |
TW202200828A (zh) | 2020-06-24 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 含鉬薄膜的氣相沉積 |
-
2019
- 2019-05-03 KR KR1020207034800A patent/KR20200140391A/ko not_active Application Discontinuation
- 2019-05-03 WO PCT/US2019/030712 patent/WO2019213604A1/en active Application Filing
- 2019-05-03 CN CN201980038600.8A patent/CN112262457A/zh active Pending
- 2019-05-03 JP JP2020561743A patent/JP2021523292A/ja active Pending
- 2019-05-03 US US17/250,014 patent/US11549175B2/en active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2017053024A (ja) * | 2015-08-07 | 2017-03-16 | ラム リサーチ コーポレーションLam Research Corporation | タングステン堆積充填の強化のためのタングステンの原子層エッチング |
US20170306479A1 (en) * | 2016-04-21 | 2017-10-26 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US20170306490A1 (en) * | 2016-04-25 | 2017-10-26 | Applied Materials, Inc. | Enhanced Spatial ALD Of Metals Through Controlled Precursor Mixing |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP7486588B2 (ja) | 2020-01-16 | 2024-05-17 | インテグリス・インコーポレーテッド | エッチングまたは堆積のための方法 |
Also Published As
Publication number | Publication date |
---|---|
KR20200140391A (ko) | 2020-12-15 |
CN112262457A (zh) | 2021-01-22 |
WO2019213604A1 (en) | 2019-11-07 |
US20210238736A1 (en) | 2021-08-05 |
US11549175B2 (en) | 2023-01-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2021523292A (ja) | 3d nand構造内にタングステンおよび他の金属を堆積させる方法 | |
US11978666B2 (en) | Void free low stress fill | |
CN107768304B (zh) | 用于在金属填充工艺期间防止线弯曲的方法 | |
US10546751B2 (en) | Forming low resistivity fluorine free tungsten film without nucleation | |
JP7092456B2 (ja) | 連続cvdプロセスによる低フッ素タングステンの堆積 | |
US9613818B2 (en) | Deposition of low fluorine tungsten by sequential CVD process | |
CN110959186B (zh) | 在互连金属化中沉积钌层 | |
JP2017008412A5 (ja) | ||
JP2022513479A (ja) | 3d nand構造上の原子層堆積 | |
JP2023520675A (ja) | 核形成阻害を伴うフィーチャ充填 | |
JP2022522226A (ja) | 高ステップカバレッジのタングステン堆積 | |
US20230290639A1 (en) | Low resistance gate oxide metallization liner | |
TW201920748A (zh) | 氮化鎢阻障層沉積 | |
CN115868002A (zh) | 高纵横比3d nand架构中的钨字线填充 | |
TW202401671A (zh) | 高縱橫比3d nand結構中的鎢字元線填充 | |
US20220186370A1 (en) | Rapid flush purging during atomic layer deposition | |
TW202340505A (zh) | 利用成核抑制的特徵部填充 | |
WO2024102866A1 (en) | Pulse ald sequence for low fluorine wn deposition | |
KR20240052872A (ko) | 반도체 프로세싱 동안 프로세스 가스 램핑 | |
JP2023550331A (ja) | 低抵抗率コンタクト及びインターコネクト | |
WO2024129781A1 (en) | Feature fill using inhibition | |
TW202338134A (zh) | 金屬填充中的傾斜襯墊 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20220421 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20220421 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20230606 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20230831 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20231206 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20240319 |