CN101308794B - 钨材料的原子层沉积 - Google Patents

钨材料的原子层沉积 Download PDF

Info

Publication number
CN101308794B
CN101308794B CN2008100994859A CN200810099485A CN101308794B CN 101308794 B CN101308794 B CN 101308794B CN 2008100994859 A CN2008100994859 A CN 2008100994859A CN 200810099485 A CN200810099485 A CN 200810099485A CN 101308794 B CN101308794 B CN 101308794B
Authority
CN
China
Prior art keywords
tungsten
substrate
layer
hydrogen
technology
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2008100994859A
Other languages
English (en)
Other versions
CN101308794A (zh
Inventor
阿米特·卡恩德尔沃尔
马德赫·穆特
阿维格尼诺斯·V·格拉托斯
吴凯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101308794A publication Critical patent/CN101308794A/zh
Application granted granted Critical
Publication of CN101308794B publication Critical patent/CN101308794B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides

Abstract

本发明的实施方式提供一种用于沉积含钨材料的改进工艺。该工艺应用浸泡工艺和气相沉积工艺,诸如原子层沉积(ALD)以提供具有显著改善表面均匀性以及产量的含钨材料。在一个实施方式中,提供一种用于在衬底上形成含钨材料的方法,该方法包括在工艺腔室内放置衬底,其中该衬底包含沉积在其上的下覆层,在原子层沉积工艺期间将衬底顺序暴露于钨前驱物和还原气体以在下覆层上沉积钨成核层,其中还原气体包括约40∶1、100∶1、500∶1、800∶1、1000∶1或以上的氢气/氢化物流速比,以及在钨成核层上沉积钨块层。还原气体包括氢化物,诸如乙硼烷、硅烷或乙硅烷。

Description

钨材料的原子层沉积
技术领域
本发明的实施方式涉及衬底的处理,更具体地,涉及使用气相沉积工艺在衬底上沉积钨层。
背景技术
半导体处理工业,以及其他应用衬底处理技术的工业,不断努力追求更大的产量同时增加在具有更大表面面积的衬底上沉积层的均匀性。这些相同因素与新材料结合还提供衬底的每单位面积电路的更高集成度。随着电路集成度增加,对更大的均匀性和工艺控制相关层厚度的需要也增加。因此,已经开发了各种技术以低成本高效率的方式在衬底上沉积层,同时维持对该层的特征的控制。
化学气相沉积(CVD)是一种用于在衬底上沉积层的最常用沉积工艺。CVD是流量相关沉积技术,其要求精确控制衬底温度和导入到处理腔室的前驱物以便形成均匀厚度的所需层。这些要求随着衬底尺寸的增加变得更加关键,致使需要更加复杂的腔室设计和气体流动技术以维持充分的均匀性。
具有优异阶梯覆盖的CVD的一种变体是循环(cyclical)沉积或原子层沉积(ALD)。循环沉积是基于原子层外延(ALE)并应用化学吸附方法以在连续循环中将前驱物分子输送到衬底表面上。该循环将衬底表面暴露于第一前驱物、净化气体、第二前驱物和净化气体。第一和第二前驱物反应以在衬底表面上形成产物化合物的薄膜。重复该循环以形成预期厚度的层。
在高沉积速率下形成薄膜层同时提供充分的阶梯覆盖是矛盾的特征,通常需要牺牲一个特征来获得另一特征。当互连由电介质层分开的相邻金属层的接触(contact)形成期间难熔金属层沉积在间隙或过孔上时,这种矛盾尤其存在。历史上来看,CVD技术已经被应用于沉积导电材料诸如难熔金属以便经济且快速形成接触。由于半导体电路不断增加集成度,钨基于优异的阶梯覆盖而被使用。因此,应用CVD技术沉积钨由于该工艺的高产量而在半导体处理中享有广泛的应用。
然而,通过传统的CVD方法沉积钨,附带有多个缺点。例如,ALD工艺在含高纵深比(例如,20)的过孔中沉积钨膜,然而传统的CVD工艺通常将造成相似的过孔“封口”(pinch-off)且不完全填充。另外,在半导体晶片上钨层的覆盖沉积在低于400℃下是耗时的。钨的沉积速率通过将沉积温度升高到,例如,约500℃到约550℃而增加。然而,这种较高的温度范围可能折衷形成的集成电路的下覆部分的结构和操作完整性。在制造工艺期间使用钨还不利于光刻步骤,原因在于其导致相对高的粗糙度表面,具有硅的70%或更少的反射率(厚度和波长相关)。另外,钨还被证实难以均匀沉积。不良的表面均匀性通常增加膜电阻率。
因此,需要一种应用ALD工艺沉积具有良好均匀性、没有或最少污染物,以及高电导率或低电阻率的含钨材料的改进方法。
发明内容
本发明实施方式提供一种用于沉积含钨材料的改进工艺。该工艺使用浸泡(soak)工艺和气相沉积工艺,诸如原子层沉积(ALD)以提供具有显著改善的电导率、表面均匀性、以及产物产量的含钨材料。在一个实施方式中,提供一种用于在衬底上形成含钨材料的方法,该方法包括在工艺腔室内放置衬底,其中该衬底包含沉积在其上的下覆层(underlayer),在原子层沉积工艺期间将衬底顺序暴露于钨前驱物和还原气体以在下覆层上沉积钨成核层,其中还原气体包括约40∶1或以上的氢气/氢化物流速比,以及在钨成核层上沉积钨块层(bulk layer)。还原气体包括氢化物,诸如硅烷、乙硅烷、乙硼烷、磷化氢及其衍生物或组合物。
在一些实施例中,还原气体的氢气/氢化物流速比是约100∶1或以上,诸如约500∶1或以上,诸如约800∶1、约1000∶1、约1200∶1或以上。氢化物的流速可在从约1sccm到约40sccm的范围,优选地,从约3sccm到约30scmm,以及更优选地,从约5sccm到约15sccm。还原气体包含具有流速从约1slm到约20slm的范围的氢气,优选地,从3slm到约15slm,更优选地,从约5slm到约10slm。
在一些实施方式中,该方法进一步包括在沉积钨成核层之前,在预浸泡工艺期间将下覆层暴露于包含还原试剂的预浸泡气体中。在其他实施方式中,该方法可包括在后浸泡工艺期间将钨成核层暴露于包含还原试剂的后浸泡气体中。该还原试剂可包括硅烷、乙硅烷、乙硼烷、磷化氢及其衍生物或组合。衬底可暴露于还原试剂的时间长度从约5秒到约60秒的范围内,优选地,从约10秒到约30秒,更优选地,从约15秒到约20秒。在一些实施例中,预浸泡气体或后浸泡气体可独立包含约40∶1或以上的氢气/氢化物流速比,诸如约100∶1、约500∶1、约800∶1、约1000∶1、约1200∶1或以上。
在一些实施例中,在ALD工艺期间、预浸泡期间或后浸泡期间,可将衬底加热到温度从约200℃到约600℃的范围内,优选地,从约300℃到约500℃,更优选地,从约350℃到约420℃,以及更优选地,从约375℃到约400℃。在ALD工艺期间、预浸泡期间和/或后浸泡期间,工艺腔室的内部体积压力可在从约1托(托)到约300托的范围内,优选地,从约1托到约100托,更优选地,从约10托到约50托,以及更优选地,从约20托到约40托。
钨块层可通过传统的化学气相沉积(CVD)工艺沉积,诸如通过将六氟化钨和氢气协流入工艺腔室中。在整个衬底上测得的钨块层的电阻率可为约20Ωμ-cm或以下,优选地,约16Ωμ-cm或以下,诸如约10Ωμ-cm或以下,优选地,约9Ωμ-cm或以下,更优选地,约8Ωμ-cm或以下,以及更优选地,约7Ωμ-cm或以下。钨成核层的厚度可以在从约
Figure G200810099485901D00031
到约
Figure G200810099485901D00032
的范围内,诸如小于约
在一个实施方式中,下覆层是阻挡层,其可包括金属钛、钛氮化物、金属钽、钽氮化物,及其硅化物、衍生物、合金,或组合。阻挡层可通过ALD工艺、CVD工艺或物理气相沉积(PVD)工艺沉积。在另一实施方式中,下覆层是成核层/阻挡层,其可包括钌、镍、钴、金属钨或钨氮化物、硅化物、其衍生物、合金或组合。成核层/阻挡层可通过ALD工艺或PVD工艺沉积。
在一个实施例中,提供一种用于在衬底上形成含钨材料的方法,该方法包括在工艺腔室内放置衬底,其中该衬底包括其上沉积的下覆层,在ALD工艺期间将衬底顺序暴露于钨前驱物和还原气体以在下覆层上沉积钨成核层,其中还原气体具有约40∶1或以上的氢气/乙硼烷流速比以及在钨成核层上沉积钨块层。
该方法可进一步包括在沉积钨成核层之前,在预浸泡工艺期间将下覆层暴露于包含还原试剂(例如,乙硼烷或硅烷)的预浸泡气体中。该方法还可包括在后浸泡工艺期间将钨成核层暴露于包含还原试剂(例如,乙硼烷或硅烷)的后浸泡气体中。在一些实施例中,还原气体的氢气/乙硼烷流速比是约100∶1或以上,诸如500∶1、约800∶1、约1000∶1或以上。乙硼烷的流速比可在从约1sccm到约40sccm的范围内,优选地,从约3sccm到约30sccm,以及更优选地,从约5sccm到约15sccm。还原气体包括氢气,该氢气流速比在从约1sccm到约20slm的范围内,优选地,从约3slm到约15slm,以及更优选地,从约5slm到约10slm。
附图说明
因此为了更详细地理解本发明的以上所述特征,将参照附图中示出的实施例对以上简要所述的本发明进行更具体描述。然而,应该注意,附图中只示出了本发明典型的实施例,因此不能认为是对本发明范围的限定,本发明可以允许其他等同的有效实施例。
图1示出根据在此描述的一个实施方式使用循环沉积技术形成钨层的工艺顺序;
图2示出根据在此描述的一个实施方式形成含钨材料的工艺顺序;
图3A示出示例性的集成处理平台;
图3B示出另一示例性集成处理平台;
图4A-4C示出过孔、成核的过孔和填充的过孔的横截面视图;
图5示出根据本发明的实施方式形成的示例性金属氧化物栅器件的横截面视图;
图6示出根据本发明的实施方式形成的传统DRAM器件的横截面视图。
具体实施方式
本发明的实施方式提供一种用于沉积含钨材料的改进工艺。该工艺在预浸泡和后浸泡工艺期间应用氢气与还原试剂的高比率以提供具有显著改善电导率、表面均匀性和产量的含钨材料。在一个实施方式中,提供一种用于在衬底上形成含钨材料的方法,该方法包括在ALD工艺期间将衬底顺序暴露于钨前驱物和还原气体中以在下覆层(例如,阻挡层)上沉积钨成核层,其中还原气体具有约40∶1或以上流速比的氢气/氢化物。在一些实施例中,还原气体的氢气/氢化物流速比是约100∶1、约500∶1、约800∶1、约1000∶1、约1200∶1或以上。还原气体包括氢化物,诸如硅烷、乙硅烷、乙硼烷、磷化氢及其衍生物或组合。接着,钨块层可沉积在钨成核层上。
图1示出根据本发明的一个实施方式用于形成改善的含钨膜的示例性工艺顺序。待处理的衬底首先装载并定位在能执行循环沉积的工艺腔室内以及调整工艺条件(步骤110)。然后衬底暴露于浸泡工艺持续约1秒到约90秒的范围内(步骤120)。含钨前驱物脉冲伴随适宜的载气导入工艺腔室(步骤130)。然后,脉冲气体被脉冲进入工艺腔室(步骤140)以净化或去除任意残余的含钨前驱物或副产物。接着,还原剂或还原化合物脉冲伴随适宜的载气导入工艺腔室(步骤150)。还原气体可包含与浸泡工艺使用的气体相同的还原化合物(步骤120)或可选地,还原气体可包含不同还原化合物,取决于产物产量要求和器件应用。然后,净化气体脉冲导入工艺腔室(步骤160)以净化或去除任意残余的还原化合物。
适宜的载气或净化气体包括氦、氩、氮气、氢气、合成气体或其组合。典型地,包括硼烷或硅烷化合物的还原气体还包括氢气,以及可进一步包括氩或氮气。
参照步骤170,在每个沉积循环后(步骤130到步骤160),具有特定厚度的钨成核层将沉积在衬底表面上。通常,每个沉积循环可形成厚度从约
Figure G200810099485901D00051
到约或以下范围的层。取决于特定的器件要求,可能需要顺序沉积循环沉积具有预期厚度的钨成核层。同样地,可重复沉积循环(步骤130到160)直到获得预期厚度的钨膜。成核层通常沉积的厚度在从约到约
Figure G200810099485901D00054
的范围内。其后,当获得预期厚度时,停止工艺,如步骤180所示。
适宜的含钨前驱物或化合物包括六氟化钨(WF6)、六氯化钨(WCl6)、羟基钨(W(CO)6)、双(环戊二烯合)钨(Cp2WCl2)、三甲苯三羰基钨(C9H12W(CO)3)或其衍生物。适宜的还原化合物和浸泡化合物包括硅烷化合物、硼烷化合物、磷化氢化合物、氨、胺化合物、氢及其衍生物、混合物或组合。硅烷化合物包括硅烷、乙硅烷、丙硅烷、丁硅烷、氯硅烷、二氯硅烷、四氯硅烷、六氯乙硅烷、甲基硅烷或其衍生物。硼烷化合物包括硼烷、乙硼烷、丙硼烷、丁硼烷、戊硼烷、烷基硼烷(例如,三乙基硼烷)或其衍生物。多个实施例提供用于浸泡工艺的还原气体,包括硅烷、乙硅烷、乙硼烷、氢气及其衍生物、混合物或组合。
衬底表面通常包括沉积在其上的阻挡层。钨成核层可沉积在阻挡层上。在一个实施方式中,在加热衬底同时,衬底表面可暴露于预浸泡气体。在工艺100的步骤110或120以及步骤130到170期间可将衬底加热到工艺温度。在预浸泡工艺之前或期间,衬底可加热到工艺温度从约100℃到约600℃的范围内,例如,优选地,从约200℃到约600℃,更优选地,从约300℃到约500℃,更优选地,从约350℃到约420℃,以及更优选地,从约375℃到约500℃。在一个实施例中,维持浸泡工艺的温度用于后续气相沉积工艺。通常在工艺腔室的内部体积压力从约1托到约150托的范围,优选地,从约1托到约100托,更优选地,从约10托到约50托,以及更优选地,从约20托到约40托的范围下执行浸泡工艺(步骤120)。在一些实施例中,压力是从约5托到约20托的范围内。在另一实施例中,压力是约40托。通常对衬底表面执行浸泡工艺从约1秒到约90秒时间长度。在一个实施例中,浸泡工艺将持续约60秒或以下。在另一实施例中,浸泡工艺将持续约30秒或以下。在另一实施例中,浸泡工艺将持续约10秒。
将衬底暴露于浸泡气体(例如,预浸泡气体)或还原气体,其包括氢气和氢化物化合物,诸如硅烷、乙硅烷、乙硼烷、磷化氢及其衍生物或组合。还原气体可在工艺腔室/沉积腔室内或外部混合并可来自多个源。在一个实施例中,衬底暴露于还原气体中,该还原气体通过在腔室中将还原化合物或氢化物化合物和氢气混合物(例如,H2中含5%的B2H6)的气流连同氢气的气流一起混合形成。在另一实施例中,还原化合物或氢化物和氢气混合物(例如,H2中含5%的B2H6)的气流连同氢气的气流一起在进入腔室前混合。
在一个实施方式中,还原气体包括约40∶1或以上的氢气/氢化物流速比,优选地,约100∶1或以上,优选地,约500∶1或以上,更优选地,约800∶1或以上,以及更优选地,约1000∶1或以上。氢化物(例如,乙硼烷)的流速可在从约1sccm到约40sccm的范围内,优选地,从约3sccm到约30scmm的,以及更优选地,从约5sccm到约15sccm范围内。氢化物可以在载气(例如,H2)内,使得混合物的流速可以在从约50sccm到约500scmm的范围内,优选地,从约75sccm到约400sccm,以及更优选地,从约100sccm到约300sccm范围内。氢气的流速可以在从约1slm到约20slm范围内,优选地,从约3slm到约15slm,以及更优选地,从约5slm到约10slm范围内。氢气/氢化物流速比可以通过将总氢气流速与总氢化物流速相除计算。总氢气流速包括所有氢气源的总和,其包括任意氢气载气的流速和任意独立氢气的流速。
沉积在衬底上的阻挡层被还原和/或吸附还原剂以形成用于后续成核层的条件层(conditioned layer)。该条件阻挡层提供用于成核层的更快且更光滑沉积。在一个实施方式中,步骤120中,浸泡工艺中使用的还原剂或还原化合物是乙硼烷或硅烷。在一个实施例中,还原气体包括流速在从约1sccm到约40sccm范围内的氢化物(例如,B2H6或SiH4),和流速在从约1slm到约20slm范围内的氢气。在另一实施例中,还原气体包括流速在从约3sccm到约30sccm范围内的氢化物和流速在从约3slm到约15slm的范围内的氢气。在另一实施例中,还原气体包括流速在从约5sccm到约15sccm范围内的氢化物和流速在从约5slm到约10slm的范围内的氢气。
在步骤130,含钨前驱物优选地是六氟化钨并以从约5sccm到约200scmm范围内的速率导入。含钨前驱物可与载气,诸如流速在从约50scmm到约1000scmm范围内的氩导入到工艺腔室中。
在步骤150,还原气体包括乙硼烷或硅烷并导入工艺腔室。还原气体包括流速在从约1sccm到约40sccm范围内的氢化物(例如,B2H6或SiH4),和流速在从约1slm到约20slm范围内的氢气。在另一实施例中,还原气体包括流速在从约3sccm到约30sccm范围内的氢化物和流速在从约3slm到约15slm的范围内的氢气。在另一实施例中,还原气体包括流速在从约5sccm到约15sccm范围内的氢化物和流速在从约5slm到约10slm的范围内的氢气。
在步骤140和160,净化气体脉冲,优选地氩或氮气,通常以从约50sccm到约2000sccm的速率导入。步骤140和160可包括连续的净化气体流,然而含钨化合物和还原气体脉冲进入稳态的载气流。每个处理步骤(步骤130到步骤160)持续从约0.01秒到10秒的范围内,优选地,从约0.1秒到约1秒。更长的处理步骤,诸如约30秒或约60秒,实现钨沉积。然而,产量降低。特定的压力和时间通过实验获得。在一个实施例中,300mm直径衬底或晶片需要与200mm直径衬底或晶片相比约两倍的流速以维持同样的产量。
在此描述的实施方式期间使用的ALD工艺腔室可从Santa Clara,California(加利福尼亚的圣克拉拉)的应用材料有限公司购买得到。ALD工艺腔室的更详细描述可以在2002年10月25日提交的共同转让的美国专利No.6,878,206和No.6,916,398以及共同转让的美国专利申请序列号No.10/281,079,并公开为US2003-0121608中找到,在此引用其全部内容作为参考。执行软件程序以开始工艺菜单或工艺顺序。当软件程序执行时,将通用计算机转换为特定工艺计算机,其控制腔室操作从而执行腔室工艺。例如,软件程序可用于精确控制电子控制阀的激活,用于执行根据本发明的技术方案的工艺顺序。可选地,软件程序可在硬件中执行,作为特定应用的集成电路或其他类型的硬件实施或软件或硬件的组合。
图2示出根据在此描述的一个实施方式用于形成含钨材料的工艺200。在步骤210期间,衬底装载到工艺腔室中。衬底通常包括沉积在其上的阻挡层并在步骤220期间暴露于预浸泡工艺或第一浸泡工艺。浸泡工艺包括将预浸泡气体或包括还原剂的还原气体输送到工艺腔室中。衬底表面形成已处理的层,诸如已还原的阻挡层。在步骤230期间,成核层(例如,钨)沉积在衬底上。一般地,成核层通过包括ALD、CVD或脉冲CVD的气相沉积工艺沉积。在步骤240,成核层暴露于具有在步骤220中使用的相同或不同还原剂的第二浸泡工艺。其后,在步骤250期间块层(例如,钨)沉积在成核层上。块层可通过CVD工艺沉积。
在步骤210期间,衬底可装载到并定位在工艺腔室中。衬底表面或下覆层可包括阻挡层、粘合剂或沉积在其上的活化层。阻挡层通常包括金属材料或金属氮化物材料。在一个实施方式中,下覆层是阻挡层,其包括金属钛、钛氮化物、金属钽、钽氮化物、其硅化物、衍生物、合金或组合。阻挡层可通过ALD工艺、CVD工艺或物理气相沉积(PVD)工艺沉积。在一个实施方式中,下覆层是成核层/阻挡层,其可包括钌、镍、钴、金属钨、钨氮化物、其硅化物、衍生物、合金或组合。成核层/阻挡层可以通过ALD工艺、CVD工艺、PVD工艺或组合沉积。
阻挡层通常沉积的厚度至少约
Figure G200810099485901D00081
诸如从约
Figure G200810099485901D00082
到约
Figure G200810099485901D00083
的范围内。在一个实施例中,阻挡层利用四二甲基胺钛(TDMAT)和氨通过CVD工艺沉积在衬底上。在另一实施例中,阻挡层通过顺序脉冲四氯化钛(TiCl4)和氨通过ALD工艺沉积在衬底表面上。在另一实施例中,阻挡层通过在氮气环境中溅射钛源通过PVD工艺沉积在衬底表面上。在执行后继的制造工艺之前,阻挡层可以等离子体致密化。在另一实施例中,下覆层是通过PVD工艺沉积的钨和/或氮化钨层。
在一个实施例中,下覆层是阻挡层,其包括金属钛、钛氮化物、金属钽、钽氮化物、其硅化物、衍生物、合金或组合,并可通过ALD工艺、CVD工艺或物理气相沉积(PVD)工艺沉积。在另一实施例中,下覆层是成核层/阻挡层,其可包括钌、镍、钴、金属钨、钨氮化物、其硅化物、衍生物、合金或组合,以及成核层/阻挡层可以通过ALD工艺或PVD工艺沉积。
在步骤220期间可使用在步骤210期间设定的工艺条件,诸如温度和压力。在一个实施方式中,在工艺200中的步骤210、220、230和/或240期间调节工艺腔室以在从约100℃到约600℃,优选地,从约200℃到约600℃,更优选地,从约300℃到约500℃,更优选地,从约350℃到约420℃,以及更优选地,从约375℃到约500℃的温度范围加热衬底。工艺腔室的内部体积压力可在从约1托到约150托,优选地,从约1托到约100托,更优选地,从约10托到约50托,以及更优选地,从约20托到约40托的范围内。在一个实施例中,在浸泡工艺期间使用的工艺腔室可以是用于沉积阻挡层的相同工艺腔室。在另一实施例中,在浸泡工艺期间使用的工艺腔室用于在步骤230期间沉积后续的成核层。
在步骤220期间,衬底表面暴露于包括还原剂和可选载气的浸泡工艺。还原剂吸附到衬底表面,即,阻挡层,和/或与之反应,以形成已处理表面。已处理表面为整体光滑的和更均匀的钨层提供更快沉积工艺。还原剂可包括硅烷化合物、硼烷化合物、磷化氢化合物、氨、胺化合物、氢气、其衍生物、混合物或组合。硅烷化合物包括硅烷、乙硅烷、丙硅烷、丁硅烷、氯硅烷、二氯硅烷、四氯硅烷、六氯乙硅烷、甲基硅烷或其衍生物,同时硼烷化合物包括硼烷、乙硼烷、丙硼烷、丁硼烷、戊硼烷、烷基硼烷(例如,三乙基硼烷)或其衍生物。优选的还原剂包括硅烷、乙硅烷、乙硼烷和氢气。载气可以与还原剂协流。载气包括氢气、氮气、氩、氦及其衍生物、混合物、或组合。
在步骤220的预浸泡工艺期间衬底可暴露于预浸泡气体或还原气体从约1秒到约90秒范围的时间长度,更优选地,从约10秒到30秒,以及更优选地,从约15秒到约20秒。沉积在衬底上的阻挡层被还原和/或吸附还原剂以形成用于后续成核层的条件层。在一个实施例中,执行ALD成核工艺之前,衬底在约15托和约350℃下暴露于约300sccm的为氢气5%体积的乙硼烷约30秒。在另一实施例在,在执行ALD成核工艺之前,衬底在约10托和约300℃下暴露于约300sccm的为氢气5%体积的乙硼烷和2slm的氢气中约20秒。在一个实施例中,执行脉冲的CVD成核工艺之前,衬底在约90托和约400℃下暴露于约200sccm的为氢气5%体积的乙硼烷约20秒。
在步骤230期间,成核层沉积在衬底表面上,即在已处理的阻挡层上。成核层可通过包括ALD、CVD和/或脉冲的CVD的气相沉积工艺沉积。用于沉积成核层的工艺腔室可以是在步骤220和240中描述的浸泡工艺中使用的相同工艺腔室。成核层可包括钨、钨合金、含钨材料(例如,钨硼化物或钨硅化物)及其组合。成核层通常沉积的厚度为从约
Figure G200810099485901D00101
到约
Figure G200810099485901D00102
的范围内。在一个实施例中,使用WF6和B2H6的ALD工艺沉积成核层的厚度为从约到约
Figure G200810099485901D00104
的范围内,诸如约
Figure G200810099485901D00105
在另一实施例中,使用WF6和B2H6的ALD工艺沉积成核层的厚度为从约
Figure G200810099485901D00106
到约
Figure G200810099485901D00107
的范围内,诸如约
Figure G200810099485901D00108
。在另一实施例中,使用WF6和SiH4或WF6和B2H6的脉冲的CVD(例如,协流)工艺沉积成核层的厚度为从约到约
Figure G200810099485901D001010
诸如约
Figure G200810099485901D001011
优选地,在工艺100期间如在此所述的ALD工艺可用于沉积成核层。
在步骤240期间,衬底表面暴露于后浸泡工艺或包括还原剂和可选载气的第二浸泡工艺。还原剂吸附到衬底表面,即成核层和/或与其反应,以形成已处理表面。还原剂或还原化合物,如以上描述,还可包括硅烷化合物、硼烷化合物、磷化氢化合物、氨、胺化合物、氢气及其衍生物、混合物或组合。载气可以与还原剂或还原化合物协流以及可包括氢气、氮气、氩或其组合。
在步骤240的后浸泡工艺期间衬底可暴露于后浸泡气体或还原气体从约1秒到约90秒的时间长度,优选地,从约5秒到约60秒,更优选地,从约10秒到约30秒,以及更优选地,从约15秒到约20秒。在一个实施方式中,在后浸泡工艺期间衬底表面可暴露于后浸泡气体,同时将衬底加热到从约100℃到约600℃的温度范围,优选地,从约200℃到约600℃,更优选地,从约300℃到约500℃,更优选地,从约350℃到约420℃,以及更优选地从约375℃到约500℃。工艺腔室维持内部体积压力在从约1托到约150托范围内,优选地,从约1托到约100托,更优选地,从约10托到约50托,以及更优选地,从约20托到约40托。沉积在衬底上的阻挡层并还原和/或吸附还原剂以形成用于后续块层的条件层。
衬底暴露于浸泡气体(例如,后浸泡气体)或还原气体中,其包括氢气和氢化物,诸如硅烷、乙硅烷、硼烷、乙硼烷、磷化氢及衍生物或组合物。在一个实施方式中,还原气体包括约40∶1或以上的氢气/氢化物流速比,优选地,约100∶1或以上,更优选地,约500∶1或以上,以及更优选地,约800∶1或以上。氢化物(例如,乙硼烷)的流速可以在从约1sccm到约40sccm的范围内,优选地,从约3sccm到约30scmm的,以及更优选地,从约5sccm到约15sccm范围内。还原气体包括流速在从约1slm到约约20slm的氢气,优选地,从约3slm到约15slm,以及更优选地,从约5slm到约10slm。
在一个实施例中在执行ALD成核工艺后,衬底在约30托和约300℃下可暴露于约300sccm的为氢气5%体积的乙硼烷约30秒。在另一实施例中在执行ALD成核工艺之后,衬底在约1 5托和约400℃下暴露于约200sccm的为氢气5%体积的乙硼烷和3slm的氢气中约30秒。在脉冲的CVD成核工艺之后执行的实施例中,衬底在约90托和约400℃下暴露于约200sccm的为氢气5%体积的乙硼烷约20秒。
在步骤250期间,块层沉积于衬底表面上,即在已处理的成核层上。块层可通过包括CVD或脉冲-CVD的气相沉积工艺沉积。用于沉积块层的工艺腔室可以为在步骤240中所述的后浸泡工艺中使用的相同工艺腔室。块层包含钨、钨合金、含钨材料(例如,硼化钨、硅化钨或磷化钨)及其组合。块层通常沉积至从大约
Figure G200810099485901D00111
至大约
Figure G200810099485901D00112
范围内的厚度,优选地为从大约
Figure G200810099485901D00113
到大约
Figure G200810099485901D00114
的范围内。在一个实施例中,利用WF6和B2H6的CVD工艺用于在已处理的成核层上沉积块层。在另一实施例中,利用WF6和SiH4的CVD工艺用于在已处理的成核层上沉积块层。在另一实施例中,利用钨源的PVD工艺用于在已处理的成核层上沉积块层。在共同转让的美国专利No.6,156,382中进一步描述了用于浸泡钨成核层并在其上沉积钨块层的工艺,在此引入该专利作为参考。
在可选实施方式中,含钨薄膜通过沉积多层成核层而沉积并且可包括气相沉积工艺的多个循环。在一个实施例中,在通过脉冲-CVD工艺形成第一成核层之前,阻挡层可暴露于浸泡工艺。将工艺腔室加热至从大约400℃到大约450℃范围内的温度,例如,大约425℃并且保持在从大约10托到大约20托范围内的压力下,诸如大约15托。脉冲-CVD工艺可包括将衬底暴露于含钨化合物和还原剂的共流从大约1秒到大约3秒的时间周期,例如大约1.5秒。反应物流包括含钨化合物,其具有从大约30sccm到大约90sccm的流速,诸如大约60sccm,而还原剂在从大约10sccm到大约50sccm的流速下,诸如大约30sccm。停止共流并且清洗工艺腔室。随后,将还原剂脉冲入腔室中从大约1秒到大约3秒的时间周期,例如大约1秒。还原剂可具有从大约10sccm到大约50sccm的流速,诸如大约30sccm。停止还原剂的流动并且对腔室清洗大约0.5秒。重复循环直到形成第一成核层的预定厚度。例如,重复3次该工艺以形成具有大约
Figure G200810099485901D00121
厚度的层。优选的含钨化合物为六氟化钨以及优选的还原剂为甲烷和/或乙硼烷。在第一成核层沉积之前或之后,在浸泡工艺期间可选使用还原剂。
第二成核层可形成于第一成核层上。工艺腔室可保持在相同温度下,但是通常提高工艺腔室的压力。工艺腔室保持在从大约400℃到大约450℃范围内的温度下,例如,大约425℃并且压力在从大约20托到大约50托范围内,诸如大约30托。CVD工艺可包括将衬底暴露于含钨化合物和还原剂的共流从大约4秒到大约8秒的时间周期,例如大约6秒。反应物流包括含钨化合物,其具有从大约30sccm到大约70sccm范围内的流速,诸如大约50sccm,而还原剂具有从大约10sccm到大约50sccm范围内的流速,诸如大约25sccm。第二成核层沉积于第一成核层上并且具有从大约
Figure G200810099485901D00122
到大约
Figure G200810099485901D00123
范围内的厚度,优选地为从大约
Figure G200810099485901D00124
到大约
Figure G200810099485901D00125
的范围内。优选的含钨化合物为六氟化钨以及优选的还原剂为甲烷和/或乙硼烷。在第二成核层沉积之前或之后,在浸泡工艺期间可选地使用还原剂。
最后,块层可沉积于第二成核层上。块层可通过传统的CVD工艺沉积。在一个实施例中,工艺腔室保持在从大约400℃到大约450℃范围内的温度下,例如,大约425℃并且保持在从大约100托到大约350托范围内的压力下,诸如大约200托。反应物流包括含钨化合物,其具有从大约200sccm到大约800sccm范围内的流速,诸如大约400sccm,而还原剂具有从大约2,000sccm到大约8,000sccm范围内的流速,诸如大约4,000sccm。优选的含钨化合物为六氟化钨以及优选的还原剂为甲烷和/或乙硼烷。
工艺集成
当与传统的块填充技术集成以形成具有优良薄膜属性的部件时,以上所述的钨成核层具有已示出的特定效用。集成方案可包括ALD或脉冲-CVD工艺以沉积成核层而块层可通过CVD或PVD工艺沉积。能执行该集成方案的集成处理系统包括
Figure G200810099485901D00131
Figure G200810099485901D00132
处理系统,每个都能从California的Santa Clara的Applied Materials有限公司购得。这些系统的任一个都配置成包括:用于沉积成核层的至少一个ALD或脉冲-CVD腔室、用于沉积块填充(bulk fill)的至少一个CVD腔室和/或用于其它材料的至少一个PVD腔室。
图3A是示例性多腔室处理系统300的示意性仰视图。在共同转让的美国专利No.5,186,718中公开了类似的多腔室处理系统,在此结合该专利作为参考。系统300一般包括真空交换腔室302、304,用于传送衬底进出系统300。通常,由于系统300在真空下,所以真空交换腔室302、304可“抽真空”引入系统300的衬底。第一机械手310可在真空交换腔室302、304与第一组一个或多个衬底处理腔室312、314、316、318(在图中示出四个)之间传送衬底。处理腔室312、314、316、318的每一个都可配备成执行多个衬底处理操作,诸如循环的层沉积、CVD、PVD、蚀刻、预清洗、去气(de-gas)、定向(orientation)和其它衬底工艺。第一机械手310还传送衬底进/出一个或多个传送腔室322、324。
传送腔室322、324用于保持超高真空条件同时允许衬底被传送到系统300内。第二机械手330可在传送腔室322、324与第二组一个或多个处理腔室332、334、336、338之间传送衬底。与处理腔室312、314、316、318类似,处理腔室332、334、336、338可配备成执行多种衬底处理操作,诸如循环沉积、CVD、PVD、蚀刻、预清洗、去气、以及定向,例如。如果对于将被系统300执行的特定工艺来说是不必要的,则可从系统300去除任何衬底处理腔室312、314、316、318、332、334、336、338。
在一个配置中,每个处理腔室332和338可以为适于沉积成核层的循环沉积腔室;每个处理腔室334和336可以为适于形成块层的循环沉积腔室、化学气相沉积腔室或物理气相沉积腔室;每个处理腔室312和314可以为适于沉积介电层的物理气相沉积腔室、化学气相沉积腔室或循环沉积腔室;并且每个处理腔室316和318可以为蚀刻腔室,其配备成蚀刻用于互连部件的孔或开口。提供系统300的这一个特定配置以示出本发明并且其并不用于限定本发明的范围。
另一集成系统可包括在单一腔室中的成核沉积以及块填充沉积。可使用配置成以循环沉积模式和传统的CVD模式两者操作的腔室。在共同转让的美国专利No.6,878,206中描述了该腔室的一个实施例,在此结合该专利作为参考。
在另一集成方案中,一个或多个循环沉积成核腔室被集成到第一处理系统上,同时一个或多个块层沉积腔室被集成到第二处理系统上。在该配置中,首先在其中成核层沉积于衬底上的第一系统中处理衬底。之后,将衬底移到块沉积发生的第二处理系统。
图3B是示例性多腔室处理系统350的示意性仰视图。系统350一般包括真空交换腔室352、354,用于传送衬底进出系统350。通常,由于系统350在真空下,所以真空交换腔室352、354可对引入系统350的衬底“抽真空”。机械手360可在真空交换腔室352、354与衬底处理系统362、364、366、368、370和372之间传送衬底。处理腔室362、364、366、368、370和372的每一个都可配备成执行多个衬底处理操作,诸如循环层沉积、CVD、PVD、蚀刻、预清洗、去气、定向和其它衬底工艺。机械手360还传送衬底进/出传送腔室356。如果对于将被系统350执行的特定工艺来说是不必要的,则可去除任何衬底处理腔室362、364、366、368、370和372。
在一个配置中,每个处理腔室364和370可以为适于沉积成核层的循环沉积腔室;每个处理腔室366和368可以为适于形成块填充沉积层的循环沉积腔室、化学气相沉积腔室或物理气相沉积腔室。提供系统350的这一个特定配置以示出本发明并且其并不用于限定本发明的范围。
可选地,在单一腔室中具有多个操作台(station)的转盘式批处理系统可适于将成核和块层沉积结合入单一处理系统。在该处理系统中,净化气体幕(curtain),诸如氩气幕,可以设于在每个操作台处,以在每个操作台处产生微型或小型环境。将处理顺序装载入系统中并且随后旋转通过每个操作台以及至少部分地在每个操作台处进行处理。例如,衬底可在第一操作台处暴露于循环沉积成核步骤以及随后在每个后续操作台处暴露于局部块填充CVD步骤。可选地,成核可在多个操作台处发生并且块填充可在一个或多个操作台处发生。随后,可在分离的转盘式系统中沉积成核层和块层。在另一方案中,浸泡和成核步骤在一个转盘中完成,同时块填充步骤在另一转盘上进行,其中两个转盘是同一工艺系统的一部分。可以温控每个压板(platen)以提供在每个操作台处的至少部分工艺控制。然而,通常在操作台之间保持相同的工艺压力,原因在于操作台被容纳在单一腔室中。由于惰性气体幕,部分压力控制对于每个台处的微型或小型环境可能是有用的。
不管集成方案,成核层可具有在大约
Figure G200810099485901D00151
到大约
Figure G200810099485901D00152
范围内的厚度,例如,从大约
Figure G200810099485901D00153
到大约
Figure G200810099485901D00154
并且块层可具有从大约到大约
Figure G200810099485901D00156
范围内的厚度,例如从大约
Figure G200810099485901D00157
到大约
Figure G200810099485901D00158
然而,这些薄膜的厚度根据部件尺寸和指定应用的纵深比(aspect ratio)而变化。因此,适当地设计这些薄膜的尺寸以容纳指定应用的几何结构。以下是一些示例性的几何结构和应用,去可受益于根据在此所述的实施方式而沉积的成核层。以下的描述仅意欲示意性目的,并且不意欲限定本发明的使用。
图4A-4C示出了半导体部件的横截面视图,其该工艺的一个实施方式用于填充过孔(via)460。在图4A中,衬底450包括至少一个过孔460。阻挡层451可通过ALD、CVD或PVD技术沉积到具有过孔460的衬底450。阻挡层451可包含钛、钛氮化物、钽、钽氮化物、钨、钨氮化物、其硼化物、其硅化钨、其合金及其组合。在钨层452成核之前,如图4B所示,可对阻挡层451执行浸泡工艺。浸泡工艺涂抹(render)过孔460内阻挡层451的侧壁,从而粘附并以与过孔460外部的阻挡层451大约相同的速度生长钨层452。当省略浸泡工艺时,在侧壁上的钨层452的生长关于在过孔460外部的钨层452的生长不恒定。一旦已经沉积钨层452的晶核,则执行二次浸泡或后浸泡工艺以处理钨层452。后浸泡工艺使钨层452在填充过孔460时更平滑地继续生长,如在图4C中示出。在一个实施例中,在沉积钨成核层之后,继续ALD工艺以沉积钨块层。在另一实施例中,ALD工艺用于沉积钨成核层以及CVD工艺用于沉积钨块层。在另一实施例中,ALD工艺用于沉积钨成核层以及PVD工艺用于沉积钨块层。在另一实施例中,脉冲-CVD工艺用于沉积钨成核层以及传统的CVD工艺用于沉积钨块层。在另一实施例中,脉冲-CVD工艺用于沉积钨成核层以及PVD工艺用于沉积钨块层。
钨金属栅极
图5示出了利用根据在此所述的实施方式沉积的成核层的示例性金属氧化物栅极器件400的横截面视图。器件400一般包括由间隔垫(spacer)416围绕的暴露的栅极410和在衬底表面412内形成的硅栅极/漏极区域420。间隔垫416通常包括诸如二氧化硅的氧化物,或诸如氮化硅的氮化物。
金属栅极410包括氧化层411、多晶硅层414、钛氮化物阻挡层415和钨层422。氧化物层411将衬底412与多晶硅层414分离。氧化物层411和多晶硅层414利用传统的沉积技术进行沉积。
钛氮化物阻挡层415沉积于多晶硅层414上。钛氮化物阻挡层415可以为通过沉积PVD钛层以及随后通过CVD钛氮化物层形成的双层叠层。钛氮化物阻挡层415还可使用循环沉积技术进行沉积,诸如在2001年12月21日提交并公布为US 2003-0116087的共同转让的美国专利序列号No.10/032,293中示出并描述的工艺,在此结合该专利作为参考。
对于衬底表面执行浸泡工艺。浸泡包括硅烷化合物或硼烷化合物以及至少一种载气。优选的硅烷化合物为甲硅烷,优选的硼烷化合物为乙硼烷以及优选的载气为任一氢气、氮气和/或氩气。在一个方案中,硅烷具有在从大约25sccm到大约500sccm范围内的流速,以及氢气具有在从大约200sccm到大约700sccm范围内的流速。浸泡工艺在以下条件下执行:从大约100℃到大约500℃范围内的温度下,优选地在大约300的温度下;从大约1托到大约1 50托范围内的压力下,优选地从大约30托到大约120托,以及持续从大约1秒到大约90秒的时间周期。在另一方案中,乙硼烷具有从大约25sccm到大约500sccm范围内的流速,以及氢气和/或氩气具有从大约200sccm到大约700sccm范围内的流速。浸泡工艺在以下条件下执行:在从大约100℃到大约500℃范围内的温度下,优选地在大约300℃下;从大约1托到大约120托范围内的压力下,优选地为从大约5托到大约50托;以及从大约1秒到大约90秒的时间周期,优选为小于大约60秒。
在一个实施例中,成核层417随后循环沉积于阻挡层415之上,随后利用浸泡工艺处理衬底表面。在一个方案中,利用六氟化钨和乙硼烷的交替脉冲循环沉积成核层417。六氟化钨被脉冲入腔室中,并且衬底暴露在从大约1sccm到大约100sccm范围内的流速下,优选地为从大约5sccm到大约50sccm,持续大约0.3秒。载气,诸如氩气,与六氟化钨一起以从大约100sccm到大约1,000sccm,优选为从大约100sccm到大约500sccm范围内的流速提供。乙硼烷(例如,在H2中的5%)可被脉冲入腔室中并且衬底暴露在从大约50sccm到大约1,000sccm范围内的流速下,优选地为从大约100sccm到大约400sccm,持续大约0.3秒。氢气可被脉冲入腔室内并且衬底暴露在从大约1slm到大约20slm范围内的流速下,优选地为从大约3slm到大约15slm,以及更优选地为从大约5slm到大约10slm。衬底可保持在:大约100℃和大约400℃之间的温度下,优选地为在大约300℃;大约1托和大约120托之间,优选地为在大约5托和大约50托之间的腔室压力下。在六氟化钨和乙硼烷的脉冲之间,将氢气脉冲入并持续0.5秒,以净化或否则从处理腔室去除任何活性化合物。
在另一实施例中,成核层417利用六氟化钨和硅烷的交替脉冲循环沉积。六氟化钨如上所述与氩气一起脉冲大约0.5秒。硅烷以大约1sccm到大约100sccm,诸如在大约5sccm到大约50sccm之间的流速脉冲大约0.5秒。载气,诸如氢气,与硅烷一起以大约100sccm和大约1,000sccm,诸如在大约100sccm和大约500sccm之间的流速提供。氢气可脉冲入腔室并且衬底暴露于在从大约1slm到大约20slm的范围内,优选地从大约3slm到大约15slm以及更优选地从大约5slm到大约10slm范围内的流速下。衬底保持在大约100℃和大约400℃之间优选地在大约300℃的温度下,在大约1托和大约30托之间的腔室压力下。
在另一实施例中,成核层417通过脉冲-CVD工艺沉积于阻挡层415上,之后利用浸泡工艺处理衬底表面。脉冲-CVD工艺包括在从大约300℃到大约500℃,优选地从大约400℃到大约450℃范围内的一温度下共同流入WF6和B2H6或WF6和SiH4。脉冲-CVD工艺在从大约0.5秒到大约3秒,优选地为大约1.5秒的时间周期下脉冲协流入的前驱物。
对于衬底表面执行第二浸泡工艺或后浸泡工艺。浸泡工艺包括还原剂,诸如硅烷化合物或乙硼烷化合物以及至少一种载气。优选地,还原剂为乙硼烷以及优选地载气为氢气、氮气和/或氩气。在一个实施例中,在氢气中包括5%体积比乙硼烷的还原气体具有从大约100sccm到大约500sccm范围内的流速,优选为大约300sccm。在一些实施例中,衬底可暴露于氢气以及还原气体,该氢气具有从大约1slm到大约20slm范围内的流度,优选地,从大约3slm到大约15slm,以及更优选地从大约5slm到大约10slm。浸泡工艺在以下条件下执行:从大约100℃到大约500℃范围内的温度下,优选地在大约300℃下;在从1托到大约120托范围内的压力下,优选地从大约10托到大约50托的范围内;以及持续从大约1秒到大约90秒的时间周期,优选地为小于大约30秒。
利用浸泡工艺形成的成核层相对于不用浸泡工艺形成的成核层具有优点。钨薄膜展示出对于集成薄膜的较小的应力,以及在成核层界面处较少的氟含量。同样,在浸泡之后沉积的成核层具有较高的均匀覆盖率并且由于减短孕育期而较快沉积。
钨块填充422随后沉积于已处理的钨成核层417之上。尽管可使用任何金属沉积工艺,诸如传统的化学气相沉积或物理气相沉积,但是可通过交替地吸收以上所述的含钨化合物和还原化合物而沉积钨块填充422。可在公共转让的美国专利No.6,878,206和在2002年2月20日提交并公布为US 2003-0157760的共同转让的美国专利序列号No.10/082,048中发现利用循环沉积技术的钨沉积的更详细描述,在此结合以上两个专利的全部内容作为参考。与不使用浸泡工艺、后钨块填充而沉积的钨薄膜相比,几乎没有易爆发区(volcano)出现于利用浸泡工艺沉积的钨薄膜表面上。
在沉积之后,可平坦化所产生结构400的顶部分。可使用化学机械抛光(CMP)设备,诸如可从位于California的Santa Clara的Applied Materials有限公司购得的
Figure G200810099485901D00181
系统。从结构顶部去除将部分钨块填充422,留下完全平坦的表面。可选地,可在以上所述的后续层的沉积之间平坦化结构的中间表面。
图6是传统的DRAM器件的横截面视图,其具有在沟道电容器530顶部分邻近设置的晶体管520。用于DRAM器件510的随机晶体管520邻近沟道晶体管530的顶部分设置。优选地,随机晶体管520包含具有源极区522、栅极区524和漏极区526的n-p-n晶体管。栅极区524是设置在P+衬底上方的P-掺杂硅外延层。随机晶体管520的源极区522是设置在栅极区524第一侧上的N+掺杂材料,以及漏极区526是设置在与源极区522相对的栅极区524第二侧上的N+掺杂材料。
源极区522和漏极区524连接到钨插栓560。每个钨插栓560包括钛衬垫562、钨成核层564和块钨填充566。钛衬垫562可以为包含PVD钛以及随后CVD钛氮化物的双层叠层。可选地,钛衬垫562可以为包含ALD沉积的钛以及随后ALD沉积的钛氮化物的双层叠层。钨成核层564可通过使用如上所述的浸泡工艺以及ALD工艺或浸泡工艺和脉冲-CVD工艺形成。钨块填充566可利用后浸泡工艺和任意传统的沉积技术包括CVD进行沉积。
沟道电容器530一般包括第一电极532、第二电极534和设置在其之间的电介质材料536。P+衬底用作沟道电容器530的第一电极532并且连接到接地连接541。沟道538形成于P+衬底中并且由用作沟道电容器530的第二电极534的重掺杂N+多晶硅填充。电介质材料536设置在第一电极532(即,P+衬底)和第二电极534(即,N+多晶硅)之间。
沟道电容器530还包括设置在电介质材料536和第一电极532之间的第一钨氮化物阻挡层540。优选地,第二钨氮化物阻挡层542设置在电介质材料536和第二电极534之间。可选地,阻挡层540、542是组合薄膜,诸如W/WN。
尽管以上所述的DRAM器件利用n-p-n晶体管、作为第一电极的P+电极和作为电容器第二电极的N+电极,但是可通过本发明预期其它晶体管设计和电极材料用于形成DRAM器件。另外,可通过在此所述的实施方式预期其它器件,诸如例如冠形(crown)电容器。
在此使用的“衬底表面”或“衬底”指形成于衬底之上并且在制造期间在其上执行薄膜处理的任何衬底或材料表面。例如,在其上执行处理的衬底表面包括诸如单晶硅、多晶硅或非晶硅、应变硅、硅上绝缘体(SOI)、掺杂的硅、硅锗、锗、砷化镓、玻璃、兰宝石、硅氧化物、硅氮化物、硅氧氮化物和/或碳掺杂的硅氧化物,诸如SiOxCy,例如,可从位于California的Santa Clara的应用材料有限公司购得的
Figure G200810099485901D00191
低-k电介质。衬底可具有各种几何尺寸,诸如200mm或300mm直径的晶圆以及矩形或正方形块。除非另有说明,在此所述的实施方式和实施例优选地执行于具有200mm直径或300mm直径的衬底上,更优选地执行于300nm直径的衬底上。在此所述的工艺的实施方式在多个衬底和表面,特别是在阻挡层、粘结层或传导层上沉积金属钨、钨氮化物、钨硼化物、钨硅化物、及其衍生物、其合金,以及其它含钨材料。在其上可使用本发明的实施方式的衬底包括,但是不限于半导体晶片,诸如单晶硅(例如,Si<100>或Si<111>)、硅氧化物、应变硅、硅锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶片和构图或未构图的晶片。衬底可暴露于预处理工艺以抛光、蚀刻、还原、氧化、羟化、退火和/或烘焙衬底表面。
在此使用的“原子层沉积”或“循环沉积”指连续引入两种或多种活性化合物以在衬底表面之上沉积材料的层。两种、三种或多种活性化合物可交替地引入工艺腔室的反应区。通常,每种活性化合物通过时间延迟分离以允许每种化合物在衬底表面上粘结和/或反应。在一个方案中,第一前驱物或化合物A被脉冲入反应区,之后为第一时间延迟。接下来,第二前驱物或化合物B被脉冲入反应区,之后为第二延迟。在每个时间延迟期间,净化气体,诸如氮气被引入到工艺腔室以净化反应区或者否则从反应区去除任何残留活性化合物或副产物。可选地,在整个沉积工艺期间,净化气体可连续流入,使得在活性化合物脉冲之间的时间延迟期间仅有净化气体流入。在可选实施方式中,净化气体还可以为还原试剂,诸如氢气、乙硼烷或硅烷。活性化合物交替地脉冲直到在衬底表面之上形成预期的薄膜或薄膜厚度。在任一情形中,脉冲化合物A、净化气体、脉冲化合物B和净化气体的ALD工艺是一循环。循环可开始于任一化合物A或化合物B并且持续循环的各个次序直到完成具有预期厚度的薄膜。在另一实施方式中,包含化合物A的第一前驱物、包含化合物B的第二前驱物和包含化合物C的第三前驱物每个都分离地并交替地脉冲入工艺腔室。可选地,包含化合物A的第一前驱物和包含化合物B的第二前驱物每个都分离地且交替地脉冲入工艺腔室,而包含化合物C的第三前驱物连续地流入工艺腔室。可选地,第一前驱物的脉冲与第二前驱物的脉冲及时重叠,而第三前驱物的脉冲不及时重叠第一和第二前驱物的任一脉冲。
在此使用的“脉冲”意欲指特定化合物的量,其间歇地或非连续地被引入处理腔室的反应区。在每个脉冲内特定化合物的量可随时间根据脉冲的持续时间改变。根据多个因素,诸如例如所采用的工艺腔室的容量、耦合到工艺腔室的真空系统和特定化合物自身的挥发性/活性,每个脉冲的持续时间是可变的。在此使用的“半-反应”指前驱物的脉冲,之后为净化步骤。
实施例
以下的实施例对包含沉积于表面上的阻挡层的衬底执行。阻挡层通过利用PVD工艺首先在300mm衬底表面之上沉积钛层至大约的厚度而形成。随后,钛氮化物层通过CVD工艺利用TDMAT前驱物沉积于钛层,之后为H2/N2等离子体处理。钛氮化物层沉积至大约
Figure G200810099485901D00211
的厚度。在大部分后来的实施例中,乙硼烷气体通常包含作为载气的氢气(H2)。然而,载气可以为氮气(N2)或氩气。
实施例
实施例1:衬底被放置入沉积腔室中并且在以下条件下暴露于浸泡工艺:
反应物:  B2H6
压力:    大约15托;
温度:    大约375℃;
流速:    H2中5%的B2H6为大约200sccm以及H2为大约8,000sccm;
氢气/氢化物流速比率:大约820∶1;以及
持续时间:大约10秒。
接下来,在以下条件下通过利用脉冲-CVD工艺,钨成核层形成于来自之前浸泡工艺的沉积腔室中的阻挡层上:
反应物:  WF6,B2H6和H2
压力:    大约5托;
温度:    大约375℃;
流速:    WF6大约60sccm,H2中5%的B2H6大约100sccm,以及H2大约6,000sccm;
氢气/氢化物流速比率:大约1,220∶1;以及
持续时间:大约1.5秒。
持续脉冲-CVD工艺直到成核层具有大约
Figure G200810099485901D00212
的厚度。之后,衬底保持在沉积腔室中并在以下条件下暴露于第二浸泡工艺:
反应物:  B2H6和H2
压力:    大约30托;
温度:    大约375℃;
流速:    H2中5%的B2H6大约200sccm,以及H2大约8,000sccm;
氢气/氢化物流速比率:大约820∶1;以及
持续时间:大约30秒。
随后,利用CVD工艺在大约375℃下,使用WF6-H2在成核层上沉积块钨层至大约
Figure G200810099485901D00221
的厚度。
实施例2:衬底被放置入沉积腔室中并且在以下条件下暴露于浸泡工艺:
反应物:  SiH4
压力:    大约90托;
温度:    大约400℃
流速:    SiH4大约200sccm;以及
持续时间:大约24秒。
接下来,在以下条件下通过利用脉冲-CVD工艺,钨成核层形成于来自之前浸泡工艺的沉积腔室中的阻挡层上:
反应物:  WF6,B2H6和H2
压力:    大约5托;
温度:    大约400℃;
流速:    WF6大约60sccm,H2中5%的B2H6大约100sccm,以及H2大约6,000sccm;
氢气/氢化物流速比率:大约1,220∶1;以及
脉冲持续时间:大约1.5秒。
持续脉冲-CVD工艺直到成核层具有大约
Figure G200810099485901D00222
的厚度。之后,衬底保持在沉积腔室中并在以下条件下暴露于第二浸泡工艺:
反应物:    B2H6和H2
压力:      大约30托;
温度:      大约400℃;
流速:      H2中5%的B2H6大约200sccm,以及H2大约8,000sccm;
氢气/氢化物流速比率:大约820∶1;以及
持续时间:大约20秒。
随后,利用CVD工艺在大约400℃下,使用WF6-H2在第二成核层上沉积块钨层至大约
Figure G200810099485901D00223
的厚度。
实施例3:衬底被放置入沉积腔室中并且在以下条件下暴露于浸泡工艺:
反应物:SiH4
压力:    大约90托;
温度:    大约400℃;
流速:    SiH4大约200sccm;以及
持续时间:大约24秒。
接下来,在以下条件下通过利用脉冲-CVD工艺,钨成核层形成于来自之前浸泡工艺的沉积腔室中的阻挡层上:
反应物:  WF6和SiH4
压力:    大约5托;
温度:    大约400℃;
流速:    WF6大约60sccm以及SiH4大约30sccm;以及
脉冲持续时间:大约1.5秒。
持续脉冲-CVD工艺直到成核层具有大约
Figure G200810099485901D00231
的厚度。之后,衬底保持在沉积腔室中并在以下条件下暴露于第二浸泡工艺:
反应物:  B2H6和H2
压力:    大约90托;
温度:    大约400℃;
流速:H2中5%的B2H6大约200sccm,以及H2大约8,000sccm;
氢气/氢化物流速比率:大约820∶1;以及
持续时间:大约20秒。
随后,利用脉冲-CVD工艺形成另一钨成核层,直到成核层具有大约
Figure G200810099485901D00232
的总厚度。使用以下条件:
反应物:  WF6和SiH4
压力:    大约5托;
温度:    大约400℃;
流速:    WF6大约60sccm以及SiH4大约30sccm;以及
脉冲持续时间:大约1.5秒。
随后,利用CVD工艺在大约400℃下,使用WF6-H2在第二成核层上沉积块钨层至大约
Figure G200810099485901D00233
的厚度。
实施例4:衬底被放置入沉积腔室中并且在以下条件下暴露于浸泡工艺:
反应物:  B2H6和H2
压力:    大约90托;
温度:    大约400℃;
流速:    H2中5%的B2H6大约200sccm,以及H2大约8,000sccm;
氢气/氢化物流速比率:大约820∶1;以及
持续时间:大约15秒。
接下来,在以下条件下通过利用脉冲-CVD工艺,钨成核层形成于来自之前浸泡工艺的沉积腔室中的阻挡层上:
反应物:  WF6和SiH4
压力:    大约5托;
温度:    大约400℃;
流速:    WF6大约60sccm以及SiH4大约30sccm;以及
脉冲持续时间:大约1.5秒。
持续脉冲-CVD工艺,直到成核层具有大约
Figure G200810099485901D00241
的厚度。
随后,利用CVD工艺在大约400℃下,在第二成核层上沉积块钨层至大约的厚度。
实施例5:衬底被放置入沉积腔室中并且在以下条件下暴露于浸泡工艺:
反应物:  SiH4和H2
压力:    大约90托;
温度:    大约400℃;
流速:    SiH4大约200sccm;以及
持续时间:大约24秒。
接下来,在以下条件下通过利用脉冲-CVD工艺,钨成核层形成于来自之前浸泡工艺的沉积腔室中的阻挡层上:
反应物:  WF6,B2H6和SiH4
压力:    大约5托;
温度:    大约400℃;
流速:    WF6大约60sccm以及H2中5%的B2H6大约100sccm,和H2大约6,000sccm;
氢气/氢化物流速比率:大约1,200∶1;以及
脉冲持续时间:大约1.5秒。
持续脉冲-CVD工艺,直到成核层具有大约的厚度。
随后,利用CVD工艺在大约400℃下,在第二成核层上沉积块钨层至大约
Figure G200810099485901D00252
的厚度。
实施例6:衬底被放置入沉积腔室中并且在以下条件下暴露于浸泡工艺:
反应物:  B2H6和H2
压力:    大约90托;
温度:    大约400℃;
流速:H2中5%的B2H6大约200sccm,以及H2大约8,000sccm;
氢气/氢化物流速比率:大约820∶1;以及
持续时间:大约15秒。
接下来,在以下条件下通过利用脉冲-CVD工艺,钨成核层形成于来自之前浸泡工艺的沉积腔室中的阻挡层上:
反应物:  WF6和SiH4
压力:    大约5托;
温度:    大约400℃;
流速:    WF6大约60sccm以及SiH4大约30sccm;以及
脉冲持续时间:大约1.5秒。
持续脉冲-CVD工艺,直到成核层具有大约
Figure G200810099485901D00253
的厚度。之后,衬底保持在沉积腔室中并且第二成核层沉积于第一成核层上。第二成核层通过传统的CVD工艺在以下条件下沉积至大约
Figure G200810099485901D00254
的厚度:
反应物:  WF6和SiH4
压力:    大约30托;
温度:    大约400℃;以及
流速:    WF6大约60sccm以及SiH4大约30sccm。
随后,利用CVD工艺在大约400℃下,在第二成核层上沉积块钨层至大约
Figure G200810099485901D00255
的厚度。
实施例7:衬底被放置入沉积腔室中并且在以下条件下暴露于浸泡工艺:
反应物:  B2H6和H2
压力:    大约90托;
温度:    大约400℃;
流速:    H2中5%的B2H6大约200sccm,以及H2大约8,000sccm;
氢气/氢化物流速比率:大约820∶1;以及
持续时间:大约15秒。
接下来,在以下条件下通过利用脉冲-CVD工艺,钨成核层形成于之前使用的沉积腔室中的阻挡层上:
反应物:  WF6,B2H6和H2
压力:    大约5托;
温度:    大约400℃;
流速:    WF6大约60sccm,B2H6大约30sccm以及H2大约4,000sccm;
氢气/氢化物流速比率:大约133∶1;以及
脉冲持续时间:大约1.5秒。
持续脉冲-CVD工艺,直到成核层具有大约
Figure G200810099485901D00261
的厚度。之后,衬底保持在沉积腔室中并且第二成核层沉积于第一成核层上。第二成核层通过传统的CVD工艺在以下条件下沉积至大约
Figure G200810099485901D00262
的厚度:
反应物:  WF6,B2H6和H2
压力:    大约30托;
温度:    大约400℃;以及
流速:    WF6大约60sccm,B2H6大约30sccm以及H2大约4,000sccm;以及
氢气/氢化物流速比率:大约133∶1。
实施例8:衬底被放置入沉积腔室中并且在以下条件下暴露于浸泡工艺:
反应物:  B2H6和H2
压力:    大约90托;
温度:    大约400℃;
流速:    H2中5%的B2H6大约200sccm,以及H2大约8,000sccm;
氢气/氢化物流速比率:大约820∶1;以及
持续时间:大约15秒。
接下来,以下条件下通过利用脉冲-CVD工艺在,钨成核层形成于浸泡工艺期间使用的相同沉积腔室中的阻挡层上:
反应物:  WF6和SiH4
压力:    大约30托;
温度:    大约400℃;
流速:    WF6大约60sccm以及SiH4大约30sccm;以及
脉冲持续时间:大约1.5秒。
持续脉冲-CVD工艺,直到成核层具有大约
Figure G200810099485901D00271
的厚度。之后,衬底保持在沉积腔室中并且在以下条件下暴露于第二浸泡工艺:
反应物:  B2H6和H2
压力:    大约90托;
温度:    大约400℃;
流速:    H2中5%的B2H6大约200sccm,以及H2大约8,000sccm;
氢气/氢化物流速比率:大约820∶1;以及
持续时间:大约15秒。
最后,衬底保持在沉积腔室中,并且利用CVD工艺在大约400℃下,块钨层在成核层上沉积至大约的厚度。
实施例9:衬底被放置入沉积腔室中并且在以下条件下暴露于浸泡工艺:
反应物:  B2H6和H2
压力:    大约90托;
温度:    大约400℃;
流速:    H2中5%的B2H6大约200sccm,以及H2大约8,000sccm;
氢气/氢化物流速比率:大约820∶1;以及
持续时间:大约15秒。
接下来,在以下条件下通过利用脉冲-CVD工艺,钨成核层形成于浸泡工艺期间使用的沉积腔室中的阻挡层上:
反应物:  WF6,B2H6和H2
压力:    大约30托;
温度:    大约400℃;
流速:    WF6大约60sccm,B2H6大约30sccm以及H2大约6,000sccm;
氢气/氢化物流速比率:大约200∶1;以及
脉冲持续时间:大约1.5秒。
持续脉冲-CVD工艺,直到成核层具有大约
Figure G200810099485901D00281
的厚度。之后,衬底保持在沉积腔室中并且在以下条件下暴露于第二浸泡工艺:
反应物:  B2H6和H2
压力:    大约15托;
温度:    大约400℃;
流速:    H2中5%的B2H6大约200sccm,以及H2大约6,000sccm;
氢气/氢化物流速比率:大约620∶1;以及
持续时间:大约10秒。
最后,衬底保持在沉积腔室中,并且利用CVD工艺在大约400℃下,块钨层在成核层上沉积至大约
Figure G200810099485901D00282
的厚度。
虽然前述针对本发明的实施方式,但是在不脱离本发明的基本范围下,可承认本发明的其它和进一步的实施方式,并且本发明的范围由以下的权利要求确定。

Claims (25)

1.一种用于在衬底上形成含钨材料的方法,包括:
在工艺腔室内放置衬底,其中该衬底包括沉积在其上的下覆层;
在原子层沉积工艺期间将衬底顺序暴露于钨前驱物和还原气体以在所述下覆层上沉积钨成核层,其中所述还原气体包括氢气和氢化物,并具有500∶1或以上的氢气/氢化物流速比;以及
在所述钨成核层上沉积钨块层。
2.根据权利要求1所述的方法,其特征在于,所述氢化物选自包括硅烷、乙硅烷、乙硼烷、磷化氢及其衍生物和其组合的组。
3.根据权利要求1所述的方法,其特征在于,所述还原气体包括乙硼烷。
4.根据权利要求1所述的方法,其特征在于,所述还原气体包括硅烷或乙硅烷。
5.根据权利要求1所述的方法,其特征在于,所述还原气体的氢气/氢化物流速比是800∶1或以上。
6.根据权利要求5所述的方法,其特征在于,所述还原气体的氢气/氢化物流速比是1000∶1或以上。
7.根据权利要求1所述的方法,其特征在于,将流速从1sccm到40sccm范围内的所述氢化物提供给所述工艺腔室。
8.根据权利要求7所述的方法,其特征在于,将流速从1slm到20slm范围内的所述氢气提供给所述工艺腔室。
9.根据权利要求8所述的方法,其特征在于,所述氢化物的流速在从3sccm到30sccm范围内以及所述氢气的流速在从3slm到15slm范围内。
10.根据权利要求9所述的方法,其特征在于,所述氢化物的流速在从5sccm到15sccm范围内以及所述氢气的流速为在从5slm到10slm范围内。
11.根据权利要求1所述的方法,其特征在于,将所述衬底加热到从350℃到420℃的范围内。
12.根据权利要求1所述的方法,其特征在于,进一步包括在预浸泡工艺期间将所述下覆层暴露于包括还原气体的预浸泡气体中,其中所述下覆层暴露于所述还原气体从5秒到60秒的时间长度。
13.根据权利要求12所述的方法,其特征在于,所述时间长度在从10秒到30秒范围内。
14.根据权利要求1所述的方法,其特征在于,进一步包括在后浸泡工艺期间将所述钨成核层暴露于包括还原气体的后浸泡气体中,其中所述钨成核层暴露于所述还原气体从5秒到60秒的时间长度。
15.根据权利要求14所述的方法,其特征在于,所述时间长度在从10秒到30秒范围内。
16.根据权利要求1所述的方法,其特征在于,在整个衬底上测得的所述钨块层的电阻率为10μΩ·cm或以下。
17.根据权利要求16所述的方法,其特征在于,所述电阻率是8μΩ·cm或以下。
18.根据权利要求1所述的方法,其特征在于,所述下覆层是阻挡层并包括选自包括金属钛、钛氮化物、金属钽、钽氮化物、钌、镍、钴、金属钨、钨氮化物、其衍生物、和其组合的组的材料。
19.根据权利要求18所述的方法,其特征在于,所述钨块层通过化学气相沉积工艺沉积。
20.一种用于在衬底上形成含钨材料的方法,包括:
在工艺腔室内放置衬底,其中所述衬底包括沉积在其上的下覆层;
在原子层沉积工艺期间将所述衬底顺序暴露于钨前驱物和还原气体中以在所述下覆层上沉积钨成核层,其中所述还原气体具有氢气和乙硼烷并具有100∶1或以上的氢气/乙硼烷流速比;以及
在所述钨成核层上沉积钨块层。
21.根据权利要求20所述的方法,其特征在于,所述还原气体的所述氢气/乙硼烷流速比是800∶1或以上。
22.一种用于在衬底上形成含钨材料的方法,包括:
在工艺腔室内放置衬底,其中所述衬底包括沉积在其上的下覆层;
在预浸泡工艺期间将所述下覆层暴露于包括乙硼烷的预浸泡气体中;
在原子层沉积工艺期间将所述衬底顺序暴露于钨前驱物和还原气体中以在所述下覆层上沉积钨成核层,其中所述还原气体包括氢气和乙硼烷并具有40∶1或以上的氢气/乙硼烷流速比;以及
在后浸泡工艺期间将所述衬底暴露于包括乙硼烷的后浸泡气体中;以及
在所述钨成核层上沉积钨块层。
23.根据权利要求22的方法,其特征在于,所述还原气体的所述氢气/乙硼烷流速比是100∶1或以上。
24.根据权利要求23的方法,其特征在于,所述还原气体的所述氢气/乙硼烷流速比是500∶1或以上。
25.根据权利要求24的方法,其特征在于,所述还原气体的所述氢气/乙硼烷流速比是800∶1或以上。
CN2008100994859A 2007-05-15 2008-05-15 钨材料的原子层沉积 Expired - Fee Related CN101308794B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US93812407P 2007-05-15 2007-05-15
US60/938,124 2007-05-15

Publications (2)

Publication Number Publication Date
CN101308794A CN101308794A (zh) 2008-11-19
CN101308794B true CN101308794B (zh) 2010-09-15

Family

ID=40125153

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008100994859A Expired - Fee Related CN101308794B (zh) 2007-05-15 2008-05-15 钨材料的原子层沉积

Country Status (4)

Country Link
JP (1) JP2009024252A (zh)
KR (1) KR20080101745A (zh)
CN (1) CN101308794B (zh)
TW (1) TWI493058B (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109661481A (zh) * 2016-07-14 2019-04-19 恩特格里斯公司 使用MoOC14的CVD Mo沉积
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) * 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8778797B2 (en) * 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5710529B2 (ja) * 2011-09-22 2015-04-30 株式会社東芝 半導体装置及びその製造方法
JP5959991B2 (ja) * 2011-11-25 2016-08-02 東京エレクトロン株式会社 タングステン膜の成膜方法
JP5925476B2 (ja) * 2011-12-09 2016-05-25 株式会社アルバック タングステン化合物膜の形成方法
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
WO2013148880A1 (en) * 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill
US8975184B2 (en) * 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) * 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9230815B2 (en) * 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
JP6554418B2 (ja) * 2013-11-27 2019-07-31 東京エレクトロン株式会社 タングステン膜の成膜方法および成膜装置
CN104701244B (zh) * 2013-12-09 2018-05-11 中芯国际集成电路制造(上海)有限公司 通孔填充方法
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9595470B2 (en) * 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
CN105405764B (zh) * 2014-07-25 2018-07-31 中国科学院微电子研究所 半导体器件制造方法
CN105514024B (zh) * 2014-09-22 2018-11-16 中芯国际集成电路制造(上海)有限公司 金属填充塞的制备方法
US9997405B2 (en) * 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016120957A1 (ja) * 2015-01-26 2016-08-04 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
JP6706903B2 (ja) * 2015-01-30 2020-06-10 東京エレクトロン株式会社 タングステン膜の成膜方法
CN105839068B (zh) * 2015-01-30 2018-09-21 东京毅力科创株式会社 钨膜的成膜方法
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6416679B2 (ja) * 2015-03-27 2018-10-31 東京エレクトロン株式会社 タングステン膜の成膜方法
KR102397797B1 (ko) * 2015-05-27 2022-05-12 램 리써치 코포레이션 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
JP6478813B2 (ja) 2015-05-28 2019-03-06 東京エレクトロン株式会社 金属膜の成膜方法
JP6541438B2 (ja) 2015-05-28 2019-07-10 東京エレクトロン株式会社 金属膜のストレス低減方法および金属膜の成膜方法
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9972694B2 (en) * 2015-10-20 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition methods and structures thereof
US9799745B2 (en) 2015-10-20 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof
US9978601B2 (en) * 2015-10-20 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for pre-deposition treatment of a work-function metal layer
US9793139B2 (en) * 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
TWI716511B (zh) * 2015-12-19 2021-01-21 美商應用材料股份有限公司 用於鎢原子層沉積製程作為成核層之正形非晶矽
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6710089B2 (ja) * 2016-04-04 2020-06-17 東京エレクトロン株式会社 タングステン膜の成膜方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102441431B1 (ko) * 2016-06-06 2022-09-06 어플라이드 머티어리얼스, 인코포레이티드 표면을 갖는 기판을 프로세싱 챔버에 포지셔닝하는 단계를 포함하는 프로세싱 방법
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102572271B1 (ko) 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US9984869B1 (en) * 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
KR102601706B1 (ko) * 2017-05-12 2023-11-10 어플라이드 머티어리얼스, 인코포레이티드 기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10199267B2 (en) * 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
US20190017165A1 (en) * 2017-07-13 2019-01-17 Applied Materials, Inc. Methods And Apparatus For Depositing Tungsten Nucleation Layers
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
WO2019036292A1 (en) * 2017-08-14 2019-02-21 Lam Research Corporation METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
TWI784036B (zh) * 2017-08-30 2022-11-21 荷蘭商Asm智慧財產控股公司 層形成方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP2021523292A (ja) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR102513403B1 (ko) * 2018-07-30 2023-03-24 주식회사 원익아이피에스 텅스텐 증착 방법
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7138518B2 (ja) * 2018-08-31 2022-09-16 東京エレクトロン株式会社 成膜方法及び成膜システム
CN110875245B (zh) * 2018-09-04 2023-06-16 北京北方华创微电子装备有限公司 用于填充孔洞或沟槽的薄膜沉积方法
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110923659B (zh) 2018-09-20 2022-07-08 东京毅力科创株式会社 成膜方法及基板处理系统
JP7296790B2 (ja) 2018-09-20 2023-06-23 東京エレクトロン株式会社 成膜方法及び基板処理システム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN113195783A (zh) * 2018-12-19 2021-07-30 恩特格里斯公司 在还原共反应剂存在下沉积钨或钼层的方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7296806B2 (ja) * 2019-07-16 2023-06-23 東京エレクトロン株式会社 RuSi膜の形成方法及び基板処理システム
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP2022544931A (ja) * 2019-08-12 2022-10-24 ラム リサーチ コーポレーション タングステン堆積
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
CN112652709A (zh) * 2019-10-10 2021-04-13 上海磁宇信息科技有限公司 磁性隧道结的种子层形成方法
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112928061A (zh) * 2019-12-05 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111139451A (zh) * 2020-01-02 2020-05-12 长江存储科技有限责任公司 膜层结构、膜层结构沉积方法及设备
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114958036B (zh) * 2022-06-30 2023-12-01 丰田自动车株式会社 一种珠光颜料及其制备方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3358328B2 (ja) * 1994-10-27 2002-12-16 ソニー株式会社 高融点金属膜の成膜方法
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
TW539760B (en) * 2001-10-12 2003-07-01 Taiwan Semiconductor Mfg Method of depositing tungsten atomic layer by chemical vapor deposition
WO2003064724A1 (en) * 2001-12-17 2003-08-07 Applied Materials, Inc. Process for tungsten deposition by pulsed gas flow cvd
JP2007046134A (ja) * 2005-08-11 2007-02-22 Tokyo Electron Ltd 金属系膜形成方法及びプログラムを記録した記録媒体
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
CN109661481B (zh) * 2016-07-14 2021-11-30 恩特格里斯公司 使用MoOC14的CVD Mo沉积
CN109661481A (zh) * 2016-07-14 2019-04-19 恩特格里斯公司 使用MoOC14的CVD Mo沉积
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
TWI493058B (zh) 2015-07-21
TW200914630A (en) 2009-04-01
JP2009024252A (ja) 2009-02-05
KR20080101745A (ko) 2008-11-21
CN101308794A (zh) 2008-11-19

Similar Documents

Publication Publication Date Title
CN101308794B (zh) 钨材料的原子层沉积
US20200365456A1 (en) Low resistivity films containing molybdenum
TWI716511B (zh) 用於鎢原子層沉積製程作為成核層之正形非晶矽
KR100978993B1 (ko) 순차 증착 기술을 이용한 내화 금속 층의 증착 방법
US8513116B2 (en) Atomic layer deposition of tungsten materials
KR20190024841A (ko) 주기적 증착 공정에 의하여 유전체 표면 위에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조
US7691742B2 (en) Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
CN100576474C (zh) 以钽前驱物taimata进行含钽材料的原子层沉积
US7208427B2 (en) Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
US20030215570A1 (en) Deposition of silicon nitride
CN102132383A (zh) 于阻障表面上的钴沉积
CN1989597A (zh) 薄硅化钨层沉积和栅金属集成
US20120003833A1 (en) Methods for forming tungsten-containing layers
US10851454B2 (en) Metal deposition methods
JP2020537359A (ja) 金属堆積用の核生成層としての共形ドープアモルファスシリコン
TW202036683A (zh) 在基板上形成鈷層之方法
JP2021522411A (ja) バリア層なしのタングステン堆積物
CN117721436A (zh) 用于选择性地沉积过渡金属的方法和组件

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: California, USA

Patentee after: APPLIED MATERIALS, Inc.

Address before: California, USA

Patentee before: APPLIED MATERIALS, Inc.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100915

CF01 Termination of patent right due to non-payment of annual fee