US20200365456A1 - Low resistivity films containing molybdenum - Google Patents

Low resistivity films containing molybdenum Download PDF

Info

Publication number
US20200365456A1
US20200365456A1 US16/947,286 US202016947286A US2020365456A1 US 20200365456 A1 US20200365456 A1 US 20200365456A1 US 202016947286 A US202016947286 A US 202016947286A US 2020365456 A1 US2020365456 A1 US 2020365456A1
Authority
US
United States
Prior art keywords
molybdenum
layer
reducing agent
deposition
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/947,286
Inventor
Shruti Vivek Thombare
Raashina Humayun
Michal Danek
Chiukin Steven Lai
Joshua Collins
Hanna Bamnolker
Griffin John Kennedy
Gorun Butail
Patrick van Cleemput
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US16/947,286 priority Critical patent/US20200365456A1/en
Publication of US20200365456A1 publication Critical patent/US20200365456A1/en
Priority to US17/589,416 priority patent/US20220223471A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/08Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • H01L27/11582
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Definitions

  • Tungsten (W) film deposition using chemical vapor deposition (CVD) techniques is an integral part of semiconductor fabrication processes.
  • tungsten films may be used as low resistivity electrical connections in the form of horizontal interconnects, vias between adjacent metal layers, and contacts between a first metal layer and the devices on a silicon substrate.
  • Tungsten films may also be used in various memory applications, including in formation of buried wordline (bWL) architectures for dynamic random access memory (DRAM), and logic applications.
  • bWL deposition a tungsten layer may be deposited on a titanium nitride (TiN) barrier layer to form a TiN/W bilayer by a CVD process using WF 6 .
  • TiN titanium nitride
  • One aspect of the disclosure relates to methods including providing a tungsten (W)-containing layer on a substrate; and depositing a molybdenum (Mo)-containing layer on the W-containing layer.
  • the W-containing layer is a WCN layer.
  • the W-containing layer is a W nucleation layer.
  • the W-containing layer is deposited from one or more tungsten chloride precursors.
  • the Mo-containing layer is a Mo layer having less than 1 (atomic) % impurities.
  • the method includes thermally annealing the Mo-containing layer.
  • the Mo-containing layer is deposited by exposing the W-containing layer to a reducing agent and a Mo-containing precursor selected from: molybdenum hexafluoride (MoF 6 ), molybdenum pentachloride (MoCl 5 ), molybdenum dichloride dioxide (MoO 2 Cl 2 ), molybdenum tetrachloride oxide (MoOCl 4 ), and molybdenum hexacarbonyl (Mo(CO) 6 ).
  • a substrate temperature during exposure to the Mo-containing precursor is less than 550° C.
  • the substrate is exposed to the reducing agent at first substrate temperature and is exposed to the Mo-containing precursor at a second substrate temperature, wherein the first substrate temperature is less than the second substrate temperature.
  • the reducing agent is a mixture of a boron-containing reducing agent and a silicon-containing reducing agent.
  • Another aspect of the disclosure relates to method including flowing a reducing agent gas to a process chamber housing a substrate, at a first substrate temperature to form a conformal reducing agent layer on the substrate; and exposing the conformal reducing agent layer to a molybdenum (Mo)-containing precursor at a second substrate temperature to convert the reducing agent layer to molybdenum.
  • the first substrate temperature is less than the second substrate temperature.
  • the reducing agent is a mixture of a boron-containing reducing agent and a silicon-containing reducing agent.
  • the first substrate temperature is no more than 400° C. and the second substrate temperature is at least 500° C.
  • the methods further include annealing the molybdenum.
  • Another aspect of the disclosure relates to a method including pulsing a reducing agent, wherein the reducing agent is boron (B)-containing, silicon (Si)-containing or germanium (Ge)-containing; and pulsing a Mo-containing precursor, wherein the Mo-containing precursor is reduced by the reducing agent or a product thereof to form a multi-component tungsten-containing film containing one or more of B, Si, and Ge on the substrate.
  • the multi-component tungsten-containing film contains between 5% and 60% (atomic) B, Si, or Ge.
  • the between 5% and 60% (atomic) B, Si, or Ge is provided by the reducing agent.
  • FIGS. 1A and 1B are schematic examples of material stacks that include molybdenum (Mo) according to various embodiments.
  • FIG. 2 depicts a schematic example of a DRAM architecture including a Mo buried wordline (bWL).
  • bWL Mo buried wordline
  • FIG. 3A depicts a schematic example of a Mo wordline in a 3D NAND structure.
  • FIG. 3B depicts a 2-D rendering of 3-D features of a partially-fabricated 3D NAND structure after Mo fill including a Mo wordline and a conformal barrier layer.
  • FIGS. 4A and 4B provide process flow diagrams for methods performed in accordance with disclosed embodiments.
  • FIGS. 5 and 6 are graphs showing Mo thickness (Angstroms) vs. CVD Duration (seconds) and Mo Resistivity ( ⁇ -cm) vs Mo thickness (Angstroms), respectively, for various substrate temperatures and chamber pressures for CVD deposition of Mo on tungsten (W) nucleation layers.
  • FIGS. 7 and 8 are graphs showing Mo growth rate and resistivity vs Mo film thickness, respectively, for CVD deposition of Mo on WCN at various substrate temperatures and chamber pressures.
  • FIG. 9 is a graph showing thickness and resistivity of a CVD deposited Mo layer as a function of WCN underlayer thickness.
  • FIG. 10 is a graph showing the reduction in stack resistivity for Mo stacks of various thicknesses deposited on 2 nm WCN after anneal at 800° C.
  • FIG. 11 is a block diagram of a processing system suitable for conducting deposition processes in accordance with embodiments described herein.
  • FIGS. 1A and 1B are schematic examples of material stacks that include molybdenum (Mo) according to various embodiments.
  • FIGS. 1A and 1B illustrate the order of materials in a particular stack and may be used with any appropriate architecture and application, as described further below with respect to FIGS. 2 and 3 .
  • a substrate 102 has a Mo layer 108 is deposited thereon.
  • the substrate 102 may be a silicon or other semiconductor wafer, e.g., a 200-mm wafer, a 300-mm wafer, or a 450-mm wafer, including wafers having one or more layers of material, such as dielectric, conducting, or semi-conducting material deposited thereon.
  • the methods may also be applied to form metallization stack structures on other substrates, such as glass, plastic, and the like.
  • a dielectric layer 104 is on the substrate 102 .
  • the dielectric layer 104 may be deposited directly on a semiconductor (e.g., Si) surface of the substrate 102 , or there may be any number of intervening layers.
  • Examples of dielectric layers include doped and undoped silicon oxide, silicon nitride, and aluminum oxide layers, with specific examples including doped or undoped layers SiO 2 and Al 2 O 3 .
  • a diffusion barrier layer 106 is disposed between the Mo layer 108 and the dielectric layer 104 .
  • diffusion barrier layers including titanium nitride (TiN), titanium/titanium nitride (Ti/TiN), tungsten nitride (WN), and tungsten carbon nitride (WCN). Further examples diffusion barriers are multi-component Mo-containing films as described further below.
  • the Mo layer 108 is the main conductor of the structure. As discussed further below, the Mo layer 108 may include a Mo nucleation layer and a bulk Mo layer. Further, in some embodiments, the Mo layer 108 may be deposited on a tungsten (W) or W-containing growth initiation layer.
  • FIG. 1B shows another example of a material stack.
  • the stack includes the substrate 102 , dielectric layer 104 , with Mo layer 108 deposited on the dielectric layer 104 , without an intervening diffusion barrier layer.
  • the Mo layer 108 may include a Mo nucleation layer and a bulk Mo layer, and, in some embodiments, the Mo layer 108 may be deposited on a tungsten (W) or W-containing growth initiation layer.
  • W tungsten
  • W tungsten
  • FIGS. 1A and 1B show examples of metallization stacks, the methods and resulting stacks are not so limited.
  • Mo may be deposited directly on a Si or other semiconductor substrate, with or without a W initiation layer.
  • FIGS. 2, 3A, and 3B provide examples of structures in which the Mo-containing stacks may be employed.
  • FIG. 2 depicts a schematic example of a DRAM architecture including a Mo buried wordline (bWL) 208 in a silicon substrate 202 .
  • the Mo bWL is formed in a trench etched in the silicon substrate 202 . Lining the trench is a conformal barrier layer 206 and an insulating layer 204 that is disposed between the conformal barrier layer 206 and the silicon substrate 202 .
  • bWL buried wordline
  • the insulating layer 204 may be a gate oxide layer, formed from a high-k dielectric material such as a silicon oxide or silicon nitride material.
  • the conformal barrier layer is TiN or tungsten-containing layer. In some embodiments, it TiN is used as a barrier, a conformal tungsten-containing growth initiation layer may be present between the conformal barrier layer 206 and the Mo bWL 208 . Alternatively, the Mo bWL 208 may be deposited directly on a TiN or other diffusion barrier.
  • FIG. 3A depicts a schematic example of a Mo wordline 308 in a 3D NAND structure 323 .
  • FIG. 3B a 2-D rendering of 3-D features of a partially-fabricated 3D NAND structure after Mo fill, is shown including the wordline 308 and a conformal barrier layer 306 .
  • FIG. 3B is a cross-sectional depiction of a filled area with the pillar constrictions 324 shown in the figure representing constrictions that would be seen in a plan rather than cross-sectional view.
  • the conformal barrier layer 306 may be a TiN or tungsten-containing layer as described above with respect to the conformal barrier layer 206 in FIG. 2 .
  • a tungsten-containing film may serve as a barrier layer and a nucleation layer for subsequent CVD Mo deposition as discussed below. If TiN is used as a barrier, a conformal tungsten-containing growth initiation layer may be present between the barrier and the wordline. Alternatively, the Mo wordline 308 may be deposited directly on a TiN or other diffusion barrier.
  • the methods of forming Mo-containing stacks include vapor deposition techniques such as CVD and pulsed nucleation layer (PNL) deposition.
  • vapor deposition techniques such as CVD and pulsed nucleation layer (PNL) deposition.
  • pulses of a co-reactant, optional purge gases, and Mo-containing precursor are sequentially injected into and purged from the reaction chamber. The process is repeated in a cyclical fashion until the desired thickness is achieved.
  • PNL broadly embodies any cyclical process of sequentially adding reactants for reaction on a semiconductor substrate, including atomic layer deposition (ALD) techniques.
  • PNL may be used for deposition of Mo nucleation layers and/or W-based growth initiation layers in the methods described herein.
  • a nucleation layer is typically a thin conformal layer that facilitates subsequent deposition of bulk material thereon. According to various implementations, a nucleation layer may be deposited prior to any fill of the feature and/or at subsequent points during fill of the feature.
  • Nucleation layer thickness can depend on the nucleation layer deposition method as well as the desired quality of bulk deposition. In general, nucleation layer thickness is sufficient to support high quality, uniform bulk deposition. Examples may range from 10 ⁇ -100 ⁇ .
  • deposition of the Mo bulk layer can occur by a CVD process in which a reducing agent and a Mo-containing precursor are flowed into a deposition chamber to deposit a bulk layer in the feature.
  • An inert carrier gas may be used to deliver one or more of the reactant streams, which may or may not be pre-mixed.
  • this operation generally involves flowing the reactants continuously until the desired amount is deposited.
  • the CVD operation may take place in multiple stages, with multiple periods of continuous and simultaneous flow of reactants separated by periods of one or more reactant flows diverted.
  • Mo-containing precursors include molybdenum hexafluoride (MoF 6 ), molybdenum pentachloride (MoCl 5 ), molybdenum dichloride dioxide (MoO 2 Cl 2 ), molybdenum tetrachloride oxide (MoOCl 4 ), and molybdenum hexacarbonyl (Mo(CO) 6 ).
  • MoF 6 molybdenum hexafluoride
  • MoCl 5 molybdenum pentachloride
  • MoO 2 Cl 2 molybdenum dichloride dioxide
  • MoOCl 4 molybdenum tetrachloride oxide
  • Mo(CO) 6 molybdenum hexacarbonyl
  • Organometallic precurors such as molybdenum silylcyclopentadienyl and molybdenum silylallyl complexes may be used.
  • Mo-containing precursors may be halide precursors, which include MoF 6 and MoCl 5 as well as mixed halide precursors that have two or more halogens that can form a stable molecule.
  • An example of a mixed halide precursor is MoCl x Br y with x and y being any number greater than 0 that can form a stable molecule.
  • structures including a molybdenum (Mo)-containing layer on a tungsten (W)-based growth initiation layer are provided. Also provided are methods of forming Mo-containing films.
  • the W-based growth initiation layer may be any W-containing layer. In some embodiments, it is a nucleation layer, i.e., a thin conformal layer that serves to facilitate the subsequent formation of a bulk material thereon. In some embodiments, the W-based growth initiation layer is a bulk W-containing layer, which itself may be deposited on a nucleation layer. When used for feature fill, a nucleation layer may be deposited to conformally coat the sidewalls and bottom of the feature. Conforming to the underlying feature bottom and sidewalls can be critical to support high quality deposition. According to various embodiments, the W-based growth initiation layer may be deposited by one or both of PNL and CVD. For example, a CVD layer may be deposited on a PNL layer.
  • the W-containing layer is an elemental W layer.
  • Such layers may be deposited by any appropriate methods include PNL or CVD methods. Elemental W is distinguished from binary films such as WC or WN and ternary films like WCN, though it may include some amount of impurities. It may be referred to as a W layer or W film.
  • the W-based growth layer is a low resistivity W (LRW) film.
  • LRW low resistivity W
  • Deposition of low resistivity tungsten according to certain embodiments is described in U.S. Pat. No. 7,772,114.
  • the '114 patent describes exposing a PNL W nucleation layer to a reducing agent prior to CVD deposition of W on the PNL W layer.
  • LRW films have large grain sizes that provide good templates for large Mo grain growth.
  • the W-based growth layer is a PNL W nucleation layer deposited using one or more of a boron-containing reducing agent (e.g., B 2 H 6 ) or a silicon-containing reducing agent (e.g., SiH 4 ) as a co-reactant.
  • a boron-containing reducing agent e.g., B 2 H 6
  • a silicon-containing reducing agent e.g., SiH 4
  • S/W cycles where S/W refers to a pulse of silane followed by a pulse of tungsten hexafluoride (WF 6 ) or other tungsten-containing precursor, may be employed to deposit a PNL W nucleation layer on which a Mo layer is deposited.
  • one or more B/W cycles may be employed to deposit a PNL W nucleation layer on which a Mo layer is deposited.
  • B/W and S/W cycles may both be used to deposit a PNL W nucleation layer.
  • Examples of PNL processes using one or both of a boron-containing reducing agent and a silicon-containing reducing agent are described in U.S. Pat. Nos. 7,262,125; 7,589,017; 7,772,114; 7,955,972; 8,058,170; 9,236,297 and 9,583,385.
  • the W-based growth layer is a W layer or other W-containing layer deposited using a tungsten chloride (WCl x ) precursor such as tungsten hexachloride (WCl 6 ) or tungsten pentachloride (WCl 5 ).
  • a tungsten chloride (WCl x ) precursor such as tungsten hexachloride (WCl 6 ) or tungsten pentachloride (WCl 5 ).
  • tungsten chloride (WCl x ) precursor such as tungsten hexachloride (WCl 6 ) or tungsten pentachloride (WCl 5 ).
  • the W-based growth layer is a low fluorine W layer.
  • U.S. Pat. No. 9,613,818, describes sequential CVD methods of depositing a low-fluorine W layer.
  • U.S. Patent Publication No. 2016/0351444 describes PNL methods of depositing low fluorine W layers.
  • the W-based growth layer is a WN, WC, or WCN film.
  • Methods of depositing one or more of WN, WC, or WCN are described in each of U.S. Pat. Nos. 7,005,372; 8,053,365; 8,278,216; and U.S. patent application Ser. No. 15/474,383.
  • the W-based growth layers are not limited to the examples given above, but may be any W or other W-containing film deposited by any appropriate method including ALD, PNL, CVD, or physical vapor deposition (PVD) methods.
  • ALD, PNL, and CVD deposition involves exposure to a W-containing precursor.
  • W-containing precursors include tungsten hexacarbonyl (W(CO) 6 ) and organo-metallic precursors such as MDNOW (methyl cyclopentadienyl-dicarbonylnitrosyl-tungsten) and EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten).
  • a reducing agent is used to reduce the W-containing precursor.
  • Examples include hydrogen gas (H 2 ), silane (SiH 4 ), disilane (Si 2 H 6 ) hydrazine (N 2 H 4 ), diborane (B 2 H 6 ) and germane (GeH 4 ).
  • the W-containing films described herein may include some amount of other compounds, dopants and/or impurities such as nitrogen, carbon, oxygen, boron, phosphorous, sulfur, silicon, germanium and the like, depending on the particular precursors and processes used.
  • the tungsten content in the film may range from 20% to 100% (atomic) tungsten.
  • the films are tungsten-rich, having at least 50% (atomic) tungsten, or even at least about 60%, 75%, 90%, or 99% (atomic) tungsten.
  • the films may be a mixture of elemental tungsten (W) and other tungsten-containing compounds such as WC, WN, etc.
  • the Mo-containing film may be deposited on the W-based growth initiation layer by any appropriate method including ALD or CVD. In some embodiments, sequential CVD processes may be used. Sequential CVD processes are described in U.S. Pat. No. 9,613,818, incorporated by reference herein.
  • Deposition of Mo-containing films may involve exposing the W-based growth initiation layer to a Mo-containing precursor and a reducing agent or other co-reactant, either simultaneously or sequentially.
  • Mo-containing precursors include MoF 6 , MoCl 5 , MoOCl 4 , and Mo(CO) 6 .
  • Organometallic precurors such as molybdenum silylcyclopentadienyl and molybdenum silylallyl complexes may be used.
  • Mo film purity (e.g., as measured by O content) can be tuned by varying the precursor and co-reactant partial pressures.
  • Substrate temperature during Mo deposition may be between 300° C. to 750° C., and in particular embodiments, between 450° C. and 550° C. Substrate temperature will depend on the thermal budget and the deposition chemistry. Thermal budget depends on the applications, while high deposition temperature may not be an issue for memory applications, it can exceed the thermal budget for logic applications.
  • the presence of the W-containing growth initiation layer allows the deposition to be performed at lower temperatures.
  • Mo deposition from MoCl 5 or MoOCl 4 cannot be performed at temperatures less than 550° C. due to the strength of the Mo—Cl bond.
  • the deposition can be performed at less than 550° C.
  • Chamber pressure during Mo deposition may be, for example, 5 torr to 60 torr.
  • H 2 is used as reducing agent, rather than a stronger reducing agent such SiH 4 or B 2 H 6 .
  • a stronger reducing agent such SiH 4 or B 2 H 6 .
  • These stronger reducing agents can result in an undesirable oxygen rich interface when using an oxygen-containing Mo-containing precursor.
  • the Mo-containing film may an elemental Mo film, although such films may include some amount of other compounds, dopants and/or impurities depending on the particular precursors and processes used.
  • a Mo-containing layer may be deposited without the use of a W-based growth initiation layer.
  • an elemental Mo layer may be deposited on a TiN or dielectric layer.
  • deposition temperatures may be relatively high (above 550° C.) to obtain deposition.
  • CVD deposition using chlorine-containing precursors such as MoOCl 5 , MoOCl 4 , and MoO 2 Cl 2 may be performed at temperatures of greater than 550° C. on TiN and dielectric surfaces. At lower temperatures, CVD deposition may be performed on any surface using a W-based growth initiation layer as described above. Further, in some embodiments, CVD deposition may be performed on any surface using a Mo-containing nucleation layer deposited by a PNL process.
  • a co-reactant pulses of a co-reactant, optional purge gases, and Mo-containing precursor are sequentially injected into and purged from the reaction chamber.
  • a Mo nucleation layer deposited using one or more of a boron-containing reducing agent (e.g., B 2 H 6 ) or a silicon-containing reducing agent (e.g., SiH 4 ) as a co-reactant.
  • a boron-containing reducing agent e.g., B 2 H 6
  • a silicon-containing reducing agent e.g., SiH 4
  • S/Mo cycles where S/Mo refers to a pulse of silane followed by a pulse of a Mo-containing precursor, may be employed to deposit a PNL Mo nucleation layer on which a CVD Mo layer is deposited.
  • one or more B/Mo cycles may be employed to deposit a PNL Mo nucleation layer on which a CVD Mo layer is deposited.
  • B/Mo and S/Mo cycles may both be used to deposit a PNL Mo nucleation layer, e.g., x(B/Mo)+y(S/Mo), with x and y being integers.
  • the Mo-containing precursor may be a non-oxygen containing precursor, e.g., MoF 6 or MoCl 5 .
  • Oxygen in oxygen-containing precursors may react with a silicon- or boron-containing reducing agent to form MoSi x O y or MoB x O y , which are impure, high resistivity films.
  • Oxygen-containing precursors may be used with oxygen incorporation minimized.
  • H 2 may be used as a reducing gas instead of a boron-containing or silicon-containing reducing gas.
  • Example thicknesses for deposition of a Mo nucleation layer range from 5 ⁇ to 30 ⁇ . Films at the lower end of this range may not be continuous; however, as long as they can help initiate continuous bulk Mo growth, the thickness may be sufficient.
  • the reducing agent pulses may be done at lower substrate temperatures than the Mo precursor pulses. For example, or B 2 H 6 or a SiH 4 (or other boron- or silicon-containing reducing agent) pulse may be performed at a temperature below 300° C., with the Mo pulse at temperatures greater than 300° C.
  • Deposition at lower temperatures may also be performed directly on non-W surfaces such as dielectric and TiN surfaces by a process as shown in FIG. 4A . It may also be used on W-containing surfaces.
  • FIG. 4A provides a process flow diagram for a method performed in accordance with disclosed embodiments. Operations 402 - 408 of FIG. 4A may be performed to form a conformal Mo layer directly at least a dielectric surface or other surface.
  • the substrate is exposed to a reducing agent gas to form a reducing agent layer.
  • the reducing agent gas may be a silane, a borane, or a mixture of a silane and diborane.
  • silanes including SiH 4 and Si 2 H 6 and examples of boranes include diborane (B 2 H 6 ), as well as B n H n+4 , B n H n+6 , B n H n+8 , B n H m , where n is an integer from 1 to 10, and m is a different integer than m.
  • boron-containing compounds may also be used, e.g., alkyl boranes, alkyl boron, aminoboranes (CH 3 ) 2 NB(CH 2 ) 2 , carboranes such as C 2 B n H n+2 .
  • the reducing agent layer may include silicon or silicon-containing material, phosphorous or a phosphorous-containing material, germanium or a germanium-containing material, boron or boron-containing material that is capable of reducing a tungsten precursor and combinations thereof.
  • reducing agent gases that can be used to form such layers include PH 3 , SiH 2 Cl 2 , and GeH 4 .
  • hydrogen may or may not be run in the background. (although hydrogen can reduce tungsten precursors, it does not function as a reducing agent in a gas mixture with a sufficient amount of stronger reducing agents such as silane and diborane.)
  • the reducing agent gas is a mixture including a small amount of a boron-containing gas, such as diborane, with another reducing agent.
  • a boron-containing gas such as diborane
  • the addition of a small amount of a boron-containing gas can greatly affect the decomposition and sticking coefficient of the other reducing agent.
  • exposing the substrate sequentially to two reducing agents, e.g., silane and diborane may be performed.
  • flowing a mixture of gases can facilitate the addition of very small amounts of a minority gas, e.g., at least a 100:1 ratio of silane to diborane.
  • a carrier gas may be flowed.
  • a carrier gas such as nitrogen (N 2 ), argon (Ar), helium (He), or other inert gases, may be flowed during operation 402 .
  • a reducing agent layer may include elemental silicon (Si), elemental boron (B), elemental germanium (Ge), or mixtures thereof.
  • a reducing agent layer may include Si and B.
  • the amount of B may be tailored to achieve high deposition rate of the reducing agent layer but with low resistivity.
  • a reducing agent layer may have between 5% and 80% B for example, or between 5% and 50% B, between 5% and 30%, or between 5% and 20% B, with the balance consisting essentially of Si and in some cases, H.
  • Hydrogen atoms be present, e.g., SiH x , BH y , GeH z , or mixtures thereof where x, y, and z may independently be between 0 and a number that is less than the stoichiometric equivalent of the corresponding reducing agent compound.
  • the composition may be varied through the thickness of the reducing agent layer.
  • a reducing agent layer may be 20% B at the bottom of the reducing agent layer and 0% B the top of the layer.
  • the total thickness of the reducing agent layer may be between 10 ⁇ and 50 ⁇ , and is some embodiments, between 15 ⁇ and 40 ⁇ , or 20 ⁇ and 30 ⁇ .
  • the reducing agent layer conformally lines the feature.
  • Substrate temperature during operation 402 may be maintained at a temperature T 1 for the film to be conformal. If temperature is too high, the film may not conform to the topography of the underlying structure. In some embodiments, step coverage of greater than 90% or 95% is achieved.
  • conformality is excellent at 300° C. and may be degraded at temperatures of 400° C. or higher.
  • temperature during operation 202 is at most 350° C., or even at most 325° C., at most 315° C., or at most 300° C. In some embodiments, temperatures of less than 300° C. are used. For example, temperatures may be as low as 200° C.
  • Example durations include between about 0.25 seconds and about 30 seconds, about 0.25 seconds and about 20 seconds, about 0.25 seconds and about 5 seconds, or about 0.5 seconds and about 3 seconds.
  • the chamber is optionally purged to remove excess reducing agent that did not adsorb to the surface of the substrate.
  • a purge may be conducted by flowing an inert gas at a fixed pressure thereby reducing the pressure of the chamber and re-pressurizing the chamber before initiating another gas exposure.
  • Example inert gases include nitrogen (N 2 ), argon (Ar), helium (He), and mixtures thereof.
  • the purge may be performed for a duration between about 0.25 seconds and about 30 seconds, about 0.25 seconds and about 20 seconds, about 0.25 seconds and about 5 seconds, or about 0.5 seconds and about 3 seconds.
  • the substrate is exposed to a Mo-containing precursor at a substrate temperature T 2 .
  • Mo-containing compounds are given above and include chlorides and oxychlorides.
  • Use of oxygen-containing precursors can lead to impurity incorporation and higher resistivity. However, if oxygen is incorporated, a very thin, possibly discontinuous reducing agent layer may be used for an acceptable resistivity.
  • a carrier gas such as nitrogen (N 2 ), argon (Ar), helium (He), or other inert gases, may be flowed during operation 406 . Examples of temperatures are 500° C. to 700° C.
  • Operation 406 may be performed for any suitable duration. In some embodiments, it may involve a soak of the Mo-containing precursor and in some embodiments, a sequence of Mo-containing precursor pulses. According to various embodiments, operation 406 may or may not be performed in the presence of H 2 . If H 2 is used, in some embodiments, it and the Mo-containing precursor may be applied in an ALD-type mode. For example:
  • the substrate temperature T 2 is high enough that the Mo-containing precursor reacts with the reducing agent layer to form elemental Mo.
  • the entire reducing agent layer is converted to Mo.
  • the temperature is at least 450° C., and may be at least 550° C. to obtain conversion of at or near 100%.
  • the resulting feature is now lined with a conformal film of Mo. It may be between 10 ⁇ and 50 ⁇ , and is some embodiments, between 15 ⁇ and 40 ⁇ , or 20 ⁇ and 30 ⁇ . In general, it will be about the same thickness as the reducing agent layer. In some embodiments, it may be may be up to 5% thicker than the reducing agent layer due to volumetric expansion during the conversion. In some embodiments, a CVD Mo layer may be deposited on the conformal Mo layer.
  • a multi-component Mo-containing film is provided.
  • the multi-component Mo-containing film may include one or more of boron (B), silicon (Si), or germanium (Ge).
  • FIG. 4B provides a process flow diagram for a method performed in accordance with disclosed embodiments.
  • a substrate is exposed to a reducing agent pulse ( 452 ).
  • a surface that is exposed to the reducing agent pulse on which the film is formed is a dielectric.
  • the film may be formed on other types of surfaces including conducting and semiconducting surfaces.
  • the reducing agent employed in block 452 will reduce a Mo-containing precursor employed in a subsequent operation as well as provide a compound to be incorporated into the resulting film.
  • reducing agents include boron-containing, silicon-containing, and germanium-containing reducing agents.
  • boron-containing reducing agents include boranes such B n H n+4 , B n H n+6 , B n H n+8 , B n H m , where n is an integer from 1 to 10, and m is a different integer than m.
  • diborane may be employed.
  • boron-containing compounds may also be used, e.g., alkyl boranes, alkyl boron, aminoboranes (CH 3 ) 2 NB(CH 2 ) 2 , and carboranes such as C 2 B n H n+2 .
  • silicon-containing compounds include silanes such as SiH 4 and Si 2 H 6 .
  • germanium-containing compounds include germanes, such as Ge n H n+4 , Ge n H n+6 , Ge n H n+8 , and Ge n H m , where n is an integer from 1 to 10, and n is a different integer than m.
  • germanium-containing compounds may also be used, e.g., alkyl germanes, alkyl germanium, aminogermanes and carbogermanes.
  • block 452 may involve adsorption of a thin layer of thermally decomposed elemental boron, silicon, or germanium onto the surface of the substrate. In some embodiments, block 452 may involve adsorption of a precursor molecule onto substrate surface.
  • the chamber in which the substrate sits may be optionally purged ( 454 ).
  • a purge pulse or an evacuation can be employed to remove any byproduct, if present, and unadsorbed precursor.
  • a pulse of a Mo-containing precursor 456 ).
  • the Mo-containing precursor is a Cl-containing precursor such as MoOCl 4 , MoO 2 Cl 2 , and MoCl 5 .
  • An optional purge ( 457 ) may be performed after block 456 as well.
  • the Mo-containing precursor is reduced by the reducing agent (or a decomposition or reaction product thereof) to form the multi-component film.
  • a deposition cycle will typically deposit a portion of the Mo-containing layer.
  • a deposition cycle may be complete in some implementations with the deposited film being a tungsten-containing binary film such as MoB x , MoSi x , and MoGe x , where x is greater than zero.
  • the process may proceed to block 462 with repeating the cycle of blocks 452 - 457 until the desired thickness is deposited.
  • Example growth rates may be about 100 ⁇ per cycle.
  • the process will proceed with optionally introducing a third reactant ( 458 ).
  • the third reactant will generally contain an element to be introduced into the film, such as carbon or nitrogen.
  • nitrogen-containing reactants include N 2 , NH 3 , and N 2 H 4 .
  • carbon-containing reactants include CH 4 and C 2 H 2 .
  • An optional purge ( 459 ) may follow. The process may then proceed to block 462 with repeating the deposition cycle.
  • ternary films including nitrogen or carbon examples are given above.
  • a film may include both nitrogen and carbon (e.g., MoSiCN).
  • the multi-component tungsten film may have the following atomic percentages: Mo about 5% to 90%, B/Ge/Si about 5% to 60%, C/N about 5% to 80%. In some embodiments, the multi-component films have the following atomic percentages: Mo about 15% to about 80%; B/Ge/Si: about 15% to about 50%; and C/N about 20% to about 50%. According to various embodiments, the multi-component Mo film is at least 50% Mo.
  • the deposition is relatively high, e.g., between 500° C. and 700° C., including between 550° C. and 650° C., and in some embodiments greater than about 500° C.
  • This facilitates Mo-containing precursor reduction and also permits incorporation of B, Si, or Ge into the binary film.
  • the high end of the range may be limited by thermal budget considerations.
  • any one or more of blocks 452 , 456 , and 458 may be performed at a different temperature than any of the other blocks.
  • transitioning from block 452 to block 456 and from block 456 to block 458 involves moving the substrate from one deposition station to another in a multi-station chamber.
  • each of block 452 , block 456 , and block 458 may be performed in a different station of the same multi-station chamber.
  • the order of blocks 452 , 456 , and 458 may be changed.
  • electrical properties such as work function of the binary or ternary film may be tuned by introducing nitrogen or carbon.
  • the amount of reducing agent may be modulated (by modulating dosage amount and/or pulse time) to tune the amount of B, Si, or Ge that is incorporated into the film.
  • any one or two of blocks 452 , 456 and 458 may be performed more than once per cycle to tune the relative amounts of the tungsten and the other components of the binary or ternary films and thus their physical, electrical, and chemical characteristics.
  • the multi-component layer may include Mo, one or more of B, Si, and Ge, and, optionally, one or more of C and N.
  • Examples include MoB x , MoSi x , MoGe x , MoB x N y , MoSi x N y , MoGe x N y , MoSi x C y , MoB x C y , MoGe x C y , where x and y are greater than zero.
  • an element in the reducing agent (B, Si, or Ge) is deliberately incorporated into the Mo-containing film.
  • a B-containing, Si-containing, or Ge-containing reducing agent may be used to form an element Mo film that has none of or only trace amounts of these elements.
  • Incorporation of B, Ge, or Si can be controlled by the pulse duration and dosage amount.
  • higher temperatures may be employed to increase incorporation. If the temperature is too high, it can result in uncontrolled decomposition of the reactant gas.
  • the substrate temperature may be lower temperature for the reducing agent gas and a higher temperature for the Mo precursor, as described above with respect to FIG. 4A .
  • the process in FIG. 4B may be modified such that B, Si, or Ge is not incorporated into the film, but block 458 is performed to incorporate C and/N, e.g., to form MoC, MoN, or MoCN films.
  • a C- and/or N-containing reactant may be used in such embodiments.
  • the multi-component Mo-containing film is a diffusion barrier, e.g., for a wordline.
  • the multi-component tungsten-containing film is a work function layer for a metal gate.
  • a bulk Mo layer may deposited on the multi-component layer. The bulk layer may be deposited directly on the multi-component Mo-containing film without an intervening layer in some embodiments. In some embodiments, it may be deposited by CVD.
  • Mo films were grown on tungsten nucleation layers deposited by PNL using silane and diborane, respectively, to reduce WF 6 .
  • the silane-deposited tungsten nucleation layer is referred to as a SW nucleation layer
  • the diborane-deposited tungsten nucleation layer is referred to as a BW nucleation layer.
  • Mo films were deposited from MoOCl 4 and H 2 .
  • FIGS. 5 and 6 show Mo thickness (Angstroms) vs. CVD Duration (seconds) and Mo Resistivity ( ⁇ -cm) vs Mo thickness (Angstroms), respectively.
  • Mo was deposited by CVD on WCN at different temperatures (500° C. and 520° C.) and pressures (45 Torr and 60 Torr).
  • FIG. 7 shows Mo growth rate
  • FIG. 8 shows resistivity vs Mo film thickness.
  • FIG. 9 shows thickness and resistivity as a function of WCN underlayer thickness. WCN etching was observed at 45 Torr whereas uniform Mo deposition was observed at 60 Torr. At 60 Torr, a higher growth rate at 520° C. was observed, with temperature not impacting resistivity. Mo was grown on WCN as thin as 10 Angstroms, with thinner WCN resulting in lower resistivity. SIMS data showed that CVD Mo on WCN was smooth with less than 0.5 (atomic) % total impurities (e.g., O, B, C) in the bulk.
  • 0.5 (atomic) % total impurities e.g., O, B, C
  • Mo may be deposited selectively on a metal or pure (no native oxide) Si surface with respect to dielectric underlayers.
  • Mo can be grown selectively on metal, resulting in bottom-up, void free gap fill.
  • the Mo may be deposited directly on a metal or Si surface that is adjacent an exposed silicon dioxide or other exposed dielectric surface.
  • the nucleation delay on the dielectric is such that the Mo is deposited preferentially on the metal surface.
  • a feature having a metal bottom and silicon dioxide sidewalls may be exposed to a Mo-containing precursor and a co-reactant. Mo will grow from the bottom-up rather than from the sidewalls.
  • a thermal anneal is performed after Mo deposition. This can allow Mo grain growth and lower resistivity. Because the melting point of Mo is lower than that of W, grain growth and the accompanying decrease in resistivity occur at lower temperatures for Mo films. Examples of anneal temperatures range from 700° C. to 1100° C.
  • the anneal may be performed in a furnace or by rapid thermal annealing. According to various embodiments, it may be performed in any appropriate ambient, including a hydrogen (H 2 ) ambient, a nitrogen (N 2 ) ambient, or vacuum.
  • the Mo film may or may not be exposed to air between deposition and annealing. If it is exposed to air or other oxidizing environment, a reducing environment may be employed during or before anneal to remove molybdenum dioxide (MoO 2 ) or molybdenum trioxide (MoO 3 ) that has formed as a result of the exposure. MoO 3 in particular has a melting point of 795° C. and could melt during anneal if not removed.
  • MoO 2 molybdenum dioxide
  • MoO 3 molybdenum trioxide
  • a B C D Resistivity 20 ⁇ -cm at 28 ⁇ -cm at 25 ⁇ -cm at 17 ⁇ -cm at 20 nm 20 nm 10 nm 10 nm 40 ⁇ -cm at (after 800 C. 10 nm anneal)
  • Film A is a low fluorine tungsten (LFW) film deposited using WF 6 .
  • Film B is a tungsten film deposited using WCl 5 and WCl 6 .
  • Film C is a molybdenum film deposited using MoCl 5 and film D is a molybdenum film deposited using MoOCl 4 .
  • Film D was subject to a post-deposition anneal. Notably, the resistivity is lower for Films C and D than films A and B. Resistivity decreases with thickness, with the 25 ⁇ -cm (film C) and 17 ⁇ -cm (film D) directly comparable to the 40 ⁇ -cm (film A).
  • Film D deposited with an O-containing precursor, shows low O. The stress of films C and D is comparable to that of films A and B.
  • FIG. 10 is a graph showing the reduction in resistivity for Mo films of various thicknesses deposited on WCN after anneal at 800° C. Resistivity of a W film on WCN is also shown for comparison. A significant decrease in resistivity is observed. The decrease in resistivity is due to grain growth. Table 2, below, shows phases and average grain size for Mo grains in as deposited and post-anneal CVD Mo films.
  • Example deposition apparatuses include various systems, e.g., ALTUS® and ALTUS® Max, available from Lam Research Corp., of Fremont, Calif., or any of a variety of other commercially available processing systems. The process can be performed on multiple deposition stations in parallel.
  • a tungsten nucleation process is performed at a first station that is one of two, five, or even more deposition stations positioned within a single deposition chamber.
  • various steps for the nucleation process are performed at two different stations of a deposition chamber.
  • the substrate may be exposed to diborane (B 2 H 6 ) in a first station using an individual gas supply system that creates a localized atmosphere at the substrate surface, and then the substrate may be transferred to a second station to be exposed to a precursor such as tungsten hexachloride (WCl 6 ) to deposit the nucleation layer.
  • a precursor such as tungsten hexachloride (WCl 6 )
  • the substrate may then be transferred back to the first station for a second exposure of diborane or to a third station for a third reactant exposure. Then the substrate may be transferred to the second station for exposure to WCl 6 (or other tungsten chloride) to complete tungsten nucleation and proceed with bulk molybdenum deposition in the same or different station.
  • WCl 6 or other tungsten chloride
  • One or more stations can then be used to perform Mo chemical vapor deposition (CVD) as described above.
  • FIG. 11 is a block diagram of a processing system suitable for conducting deposition processes in accordance with embodiments described herein.
  • the system 1100 includes a transfer module 1103 .
  • the transfer module 1103 provides a clean, pressurized environment to minimize the risk of contamination of substrates being processed as they are moved between the various reactor modules.
  • Mounted on the transfer module 1103 is a multi-station reactor 1109 capable of performing nucleation layer deposition, which may be referred to as pulsed nucleation layer (PNL) deposition, as well as CVD deposition according to embodiments described herein.
  • Chamber 1109 may include multiple stations 1111 , 1113 , 1115 , and 1117 that may sequentially perform these operations.
  • chamber 1109 could be configured such that stations 1111 and 1113 perform PNL deposition, and stations 1113 and 1115 perform CVD.
  • Each deposition station may include a heated wafer pedestal and a showerhead, dispersion plate or other gas inlet.
  • the transfer module 1103 may be one or more single or multi-station modules 1107 capable of performing plasma or chemical (non-plasma) pre-cleans.
  • the module may also be used for various other treatments, e.g., reducing agent soaking.
  • the system 1100 also includes one or more (in this case two) wafer source modules 1101 where wafers are stored before and after processing.
  • An atmospheric robot (not shown) in the atmospheric transfer chamber 1119 first removes wafers from the source modules 1101 to loadlocks 1121 .
  • a wafer transfer device (generally a robot arm unit) in the transfer module 1103 moves the wafers from loadlocks 1121 to and among the modules mounted on the transfer module 1103 .
  • a system controller 1129 is employed to control process conditions during deposition.
  • the controller will typically include one or more memory devices and one or more processors.
  • the processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
  • the controller may control all of the activities of the deposition apparatus.
  • the system controller executes system control software including sets of instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, radio frequency (RF) power levels if used, wafer chuck or pedestal position, and other parameters of a particular process.
  • RF radio frequency
  • Other computer programs stored on memory devices associated with the controller may be employed in some embodiments.
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • System control logic may be configured in any suitable way.
  • the logic can be designed or configured in hardware and/or software.
  • the instructions for controlling the drive circuitry may be hard coded or provided as software.
  • the instructions may be provided by “programming.”
  • Such programming is understood to include logic of any form, including hard coded logic in digital signal processors, application-specific integrated circuits, and other devices which have specific algorithms implemented as hardware.
  • Programming is also understood to include software or firmware instructions that may be executed on a general purpose processor.
  • System control software may be coded in any suitable computer readable programming language.
  • the control logic may be hard coded in the controller.
  • Applications Specific Integrated Circuits, Programmable Logic Devices e.g., field-programmable gate arrays, or FPGAs
  • functionally comparable hard coded logic may be used in its place.
  • the computer program code for controlling the deposition and other processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.
  • the controller parameters relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels and the low frequency RF frequency, cooling gas pressure, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller.
  • the signals for controlling the process are output on the analog and digital output connections of the deposition apparatus.
  • the system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the inventive deposition processes. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, heater control code, and plasma control code.
  • a controller 1129 is part of a system, which may be part of the above-described examples.
  • Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.).
  • These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems.
  • the controller 1129 may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings in some systems, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • temperature settings e.g., heating and/or cooling
  • pressure settings e.g., vacuum settings
  • power settings e.g., radio frequency (RF) generator settings in some systems
  • RF matching circuit settings e.g., frequency settings, flow rate settings, fluid delivery settings, positional and operation settings
  • the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • the controller 1129 may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller 1129 may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g.
  • a server can provide process recipes to a system over a network, which may include a local network or the Internet.
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control.
  • the controller may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a CVD chamber or module, an ALD chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer etch
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • the controller 1129 may include various programs.
  • a substrate positioning program may include program code for controlling chamber components that are used to load the substrate onto a pedestal or chuck and to control the spacing between the substrate and other parts of the chamber such as a gas inlet and/or target.
  • a process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into the chamber prior to deposition in order to stabilize the pressure in the chamber.
  • a pressure control program may include code for controlling the pressure in the chamber by regulating, e.g., a throttle valve in the exhaust system of the chamber.
  • a heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas such as helium to the wafer chuck.
  • Lithographic patterning of a film typically comprises some or all of the following steps, each step provided with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • a tool such as an RF or microwave plasma resist stripper.

Abstract

Provided herein are low resistance metallization stack structures for logic and memory applications and related methods of fabrication. In some implementations, the methods involve providing a tungsten (W)-containing layer on a substrate; and depositing a molybdenum (Mo)-containing layer on the W-containing layer. In some implementations, the methods involve depositing a Mo-containing layer directly on a dielectric or titanium nitride (TiN) substrate without an intervening W-containing layer.

Description

    INCORPORATION BY REFERENCE
  • An Application Data Sheet is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed Application Data Sheet is incorporated by reference herein in its entirety and for all purposes.
  • BACKGROUND
  • The background description provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
  • Tungsten (W) film deposition using chemical vapor deposition (CVD) techniques is an integral part of semiconductor fabrication processes. For example, tungsten films may be used as low resistivity electrical connections in the form of horizontal interconnects, vias between adjacent metal layers, and contacts between a first metal layer and the devices on a silicon substrate. Tungsten films may also be used in various memory applications, including in formation of buried wordline (bWL) architectures for dynamic random access memory (DRAM), and logic applications. In an example of bWL deposition, a tungsten layer may be deposited on a titanium nitride (TiN) barrier layer to form a TiN/W bilayer by a CVD process using WF6. However, the continued decrease in feature size and film thickness bring various challenges to TiN/W film stacks. These include high resistivity for thinner films and deterioration of TiN barrier properties.
  • SUMMARY
  • One aspect of the disclosure relates to methods including providing a tungsten (W)-containing layer on a substrate; and depositing a molybdenum (Mo)-containing layer on the W-containing layer. In some embodiments, the W-containing layer is a WCN layer. In some embodiments, the W-containing layer is a W nucleation layer. In some embodiments, the W-containing layer is deposited from one or more tungsten chloride precursors. In some embodiments, the Mo-containing layer is a Mo layer having less than 1 (atomic) % impurities. In some embodiments, the method includes thermally annealing the Mo-containing layer. In some embodiments, the Mo-containing layer is deposited by exposing the W-containing layer to a reducing agent and a Mo-containing precursor selected from: molybdenum hexafluoride (MoF6), molybdenum pentachloride (MoCl5), molybdenum dichloride dioxide (MoO2Cl2), molybdenum tetrachloride oxide (MoOCl4), and molybdenum hexacarbonyl (Mo(CO)6). In some embodiments, a substrate temperature during exposure to the Mo-containing precursor is less than 550° C. In some embodiments, the substrate is exposed to the reducing agent at first substrate temperature and is exposed to the Mo-containing precursor at a second substrate temperature, wherein the first substrate temperature is less than the second substrate temperature. In some embodiments, the reducing agent is a mixture of a boron-containing reducing agent and a silicon-containing reducing agent.
  • Another aspect of the disclosure relates to method including flowing a reducing agent gas to a process chamber housing a substrate, at a first substrate temperature to form a conformal reducing agent layer on the substrate; and exposing the conformal reducing agent layer to a molybdenum (Mo)-containing precursor at a second substrate temperature to convert the reducing agent layer to molybdenum. In some embodiments, the first substrate temperature is less than the second substrate temperature. In some embodiments, the reducing agent is a mixture of a boron-containing reducing agent and a silicon-containing reducing agent. In some embodiments, the first substrate temperature is no more than 400° C. and the second substrate temperature is at least 500° C. In some embodiments, the methods further include annealing the molybdenum.
  • Another aspect of the disclosure relates to a method including pulsing a reducing agent, wherein the reducing agent is boron (B)-containing, silicon (Si)-containing or germanium (Ge)-containing; and pulsing a Mo-containing precursor, wherein the Mo-containing precursor is reduced by the reducing agent or a product thereof to form a multi-component tungsten-containing film containing one or more of B, Si, and Ge on the substrate. In some embodiments, the multi-component tungsten-containing film contains between 5% and 60% (atomic) B, Si, or Ge. In some embodiments, the between 5% and 60% (atomic) B, Si, or Ge is provided by the reducing agent.
  • Another aspect of the disclosure are apparatuses for performing the methods disclosed herein. These and other features are discussed further with respect to the drawings.
  • BRIEF DESCRIPTIONS OF DRAWINGS
  • FIGS. 1A and 1B are schematic examples of material stacks that include molybdenum (Mo) according to various embodiments.
  • FIG. 2 depicts a schematic example of a DRAM architecture including a Mo buried wordline (bWL).
  • FIG. 3A depicts a schematic example of a Mo wordline in a 3D NAND structure.
  • FIG. 3B depicts a 2-D rendering of 3-D features of a partially-fabricated 3D NAND structure after Mo fill including a Mo wordline and a conformal barrier layer.
  • FIGS. 4A and 4B provide process flow diagrams for methods performed in accordance with disclosed embodiments.
  • FIGS. 5 and 6 are graphs showing Mo thickness (Angstroms) vs. CVD Duration (seconds) and Mo Resistivity (μΩ-cm) vs Mo thickness (Angstroms), respectively, for various substrate temperatures and chamber pressures for CVD deposition of Mo on tungsten (W) nucleation layers.
  • FIGS. 7 and 8 are graphs showing Mo growth rate and resistivity vs Mo film thickness, respectively, for CVD deposition of Mo on WCN at various substrate temperatures and chamber pressures.
  • FIG. 9 is a graph showing thickness and resistivity of a CVD deposited Mo layer as a function of WCN underlayer thickness.
  • FIG. 10 is a graph showing the reduction in stack resistivity for Mo stacks of various thicknesses deposited on 2 nm WCN after anneal at 800° C.
  • FIG. 11 is a block diagram of a processing system suitable for conducting deposition processes in accordance with embodiments described herein.
  • DETAILED DESCRIPTION
  • In the following description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.
  • Provided herein are low resistance metallization stack structures for logic and memory applications. FIGS. 1A and 1B are schematic examples of material stacks that include molybdenum (Mo) according to various embodiments. FIGS. 1A and 1B illustrate the order of materials in a particular stack and may be used with any appropriate architecture and application, as described further below with respect to FIGS. 2 and 3. In the example of FIG. 1A, a substrate 102 has a Mo layer 108 is deposited thereon. The substrate 102 may be a silicon or other semiconductor wafer, e.g., a 200-mm wafer, a 300-mm wafer, or a 450-mm wafer, including wafers having one or more layers of material, such as dielectric, conducting, or semi-conducting material deposited thereon. The methods may also be applied to form metallization stack structures on other substrates, such as glass, plastic, and the like.
  • In FIG. 1A, a dielectric layer 104 is on the substrate 102. The dielectric layer 104 may be deposited directly on a semiconductor (e.g., Si) surface of the substrate 102, or there may be any number of intervening layers. Examples of dielectric layers include doped and undoped silicon oxide, silicon nitride, and aluminum oxide layers, with specific examples including doped or undoped layers SiO2 and Al2O3. Also, in FIG. 1A, a diffusion barrier layer 106 is disposed between the Mo layer 108 and the dielectric layer 104. Examples of diffusion barrier layers including titanium nitride (TiN), titanium/titanium nitride (Ti/TiN), tungsten nitride (WN), and tungsten carbon nitride (WCN). Further examples diffusion barriers are multi-component Mo-containing films as described further below. The Mo layer 108 is the main conductor of the structure. As discussed further below, the Mo layer 108 may include a Mo nucleation layer and a bulk Mo layer. Further, in some embodiments, the Mo layer 108 may be deposited on a tungsten (W) or W-containing growth initiation layer.
  • FIG. 1B shows another example of a material stack. In this example, the stack includes the substrate 102, dielectric layer 104, with Mo layer 108 deposited on the dielectric layer 104, without an intervening diffusion barrier layer. As in the example of FIG. 1A, the Mo layer 108 may include a Mo nucleation layer and a bulk Mo layer, and, in some embodiments, the Mo layer 108 may be deposited on a tungsten (W) or W-containing growth initiation layer. By using Mo, which has a lower electron mean free path than W, as the main conductor, lower resistivity thin films can be obtained.
  • While FIGS. 1A and 1B show examples of metallization stacks, the methods and resulting stacks are not so limited. For example, in some embodiments, Mo may be deposited directly on a Si or other semiconductor substrate, with or without a W initiation layer.
  • The material stacks described above and further below may be employed in a variety of embodiments. FIGS. 2, 3A, and 3B provide examples of structures in which the Mo-containing stacks may be employed. FIG. 2 depicts a schematic example of a DRAM architecture including a Mo buried wordline (bWL) 208 in a silicon substrate 202. The Mo bWL is formed in a trench etched in the silicon substrate 202. Lining the trench is a conformal barrier layer 206 and an insulating layer 204 that is disposed between the conformal barrier layer 206 and the silicon substrate 202. In the example of FIG. 2, the insulating layer 204 may be a gate oxide layer, formed from a high-k dielectric material such as a silicon oxide or silicon nitride material. In some embodiments disclosed herein the conformal barrier layer is TiN or tungsten-containing layer. In some embodiments, it TiN is used as a barrier, a conformal tungsten-containing growth initiation layer may be present between the conformal barrier layer 206 and the Mo bWL 208. Alternatively, the Mo bWL 208 may be deposited directly on a TiN or other diffusion barrier.
  • FIG. 3A depicts a schematic example of a Mo wordline 308 in a 3D NAND structure 323. In FIG. 3B, a 2-D rendering of 3-D features of a partially-fabricated 3D NAND structure after Mo fill, is shown including the wordline 308 and a conformal barrier layer 306. FIG. 3B is a cross-sectional depiction of a filled area with the pillar constrictions 324 shown in the figure representing constrictions that would be seen in a plan rather than cross-sectional view. The conformal barrier layer 306 may be a TiN or tungsten-containing layer as described above with respect to the conformal barrier layer 206 in FIG. 2. In some embodiments, a tungsten-containing film may serve as a barrier layer and a nucleation layer for subsequent CVD Mo deposition as discussed below. If TiN is used as a barrier, a conformal tungsten-containing growth initiation layer may be present between the barrier and the wordline. Alternatively, the Mo wordline 308 may be deposited directly on a TiN or other diffusion barrier.
  • The methods of forming Mo-containing stacks include vapor deposition techniques such as CVD and pulsed nucleation layer (PNL) deposition. In a PNL technique, pulses of a co-reactant, optional purge gases, and Mo-containing precursor are sequentially injected into and purged from the reaction chamber. The process is repeated in a cyclical fashion until the desired thickness is achieved. PNL broadly embodies any cyclical process of sequentially adding reactants for reaction on a semiconductor substrate, including atomic layer deposition (ALD) techniques. PNL may be used for deposition of Mo nucleation layers and/or W-based growth initiation layers in the methods described herein. A nucleation layer is typically a thin conformal layer that facilitates subsequent deposition of bulk material thereon. According to various implementations, a nucleation layer may be deposited prior to any fill of the feature and/or at subsequent points during fill of the feature.
  • PNL techniques for depositing tungsten nucleation layers are described in U.S. Pat. Nos. 6,635,965; 7,005,372; 7,141,494; 7,589,017, 7,772,114, 7,955,972 and 8,058,170. Nucleation layer thickness can depend on the nucleation layer deposition method as well as the desired quality of bulk deposition. In general, nucleation layer thickness is sufficient to support high quality, uniform bulk deposition. Examples may range from 10 Å-100 Å.
  • In many implementations, deposition of the Mo bulk layer can occur by a CVD process in which a reducing agent and a Mo-containing precursor are flowed into a deposition chamber to deposit a bulk layer in the feature. An inert carrier gas may be used to deliver one or more of the reactant streams, which may or may not be pre-mixed. Unlike PNL or ALD processes, this operation generally involves flowing the reactants continuously until the desired amount is deposited. In certain implementations, the CVD operation may take place in multiple stages, with multiple periods of continuous and simultaneous flow of reactants separated by periods of one or more reactant flows diverted.
  • Mo-containing precursors include molybdenum hexafluoride (MoF6), molybdenum pentachloride (MoCl5), molybdenum dichloride dioxide (MoO2Cl2), molybdenum tetrachloride oxide (MoOCl4), and molybdenum hexacarbonyl (Mo(CO)6). Organometallic precurors such as molybdenum silylcyclopentadienyl and molybdenum silylallyl complexes may be used. Mo-containing precursors may be halide precursors, which include MoF6 and MoCl5 as well as mixed halide precursors that have two or more halogens that can form a stable molecule. An example of a mixed halide precursor is MoClxBry with x and y being any number greater than 0 that can form a stable molecule.
  • Mo-Containing Layer on a W-Based Growth Initiation Layer
  • In certain embodiments, structures including a molybdenum (Mo)-containing layer on a tungsten (W)-based growth initiation layer are provided. Also provided are methods of forming Mo-containing films.
  • The W-based growth initiation layer may be any W-containing layer. In some embodiments, it is a nucleation layer, i.e., a thin conformal layer that serves to facilitate the subsequent formation of a bulk material thereon. In some embodiments, the W-based growth initiation layer is a bulk W-containing layer, which itself may be deposited on a nucleation layer. When used for feature fill, a nucleation layer may be deposited to conformally coat the sidewalls and bottom of the feature. Conforming to the underlying feature bottom and sidewalls can be critical to support high quality deposition. According to various embodiments, the W-based growth initiation layer may be deposited by one or both of PNL and CVD. For example, a CVD layer may be deposited on a PNL layer.
  • In some embodiments, the W-containing layer is an elemental W layer. Such layers may be deposited by any appropriate methods include PNL or CVD methods. Elemental W is distinguished from binary films such as WC or WN and ternary films like WCN, though it may include some amount of impurities. It may be referred to as a W layer or W film.
  • In some embodiments, the W-based growth layer is a low resistivity W (LRW) film. Deposition of low resistivity tungsten according to certain embodiments is described in U.S. Pat. No. 7,772,114. In particular, the '114 patent describes exposing a PNL W nucleation layer to a reducing agent prior to CVD deposition of W on the PNL W layer. LRW films have large grain sizes that provide good templates for large Mo grain growth.
  • In some embodiments, the W-based growth layer is a PNL W nucleation layer deposited using one or more of a boron-containing reducing agent (e.g., B2H6) or a silicon-containing reducing agent (e.g., SiH4) as a co-reactant. For example, one or more S/W cycles, where S/W refers to a pulse of silane followed by a pulse of tungsten hexafluoride (WF6) or other tungsten-containing precursor, may be employed to deposit a PNL W nucleation layer on which a Mo layer is deposited. In another example, one or more B/W cycles, where B/W refers to a pulse of diborane followed by a pulse of WF6 or other tungsten-containing precursor, may be employed to deposit a PNL W nucleation layer on which a Mo layer is deposited. B/W and S/W cycles may both be used to deposit a PNL W nucleation layer. Examples of PNL processes using one or both of a boron-containing reducing agent and a silicon-containing reducing agent are described in U.S. Pat. Nos. 7,262,125; 7,589,017; 7,772,114; 7,955,972; 8,058,170; 9,236,297 and 9,583,385.
  • In some embodiments, the W-based growth layer is a W layer or other W-containing layer deposited using a tungsten chloride (WClx) precursor such as tungsten hexachloride (WCl6) or tungsten pentachloride (WCl5). Deposition of W-containing layers using tungsten chlorides is described in U.S. Pat. No. 9,595,470; U.S. Patent Publication No. 20150348840; and U.S. patent application Ser. No. 15/398,462.
  • In some embodiments, the W-based growth layer is a low fluorine W layer. U.S. Pat. No. 9,613,818, describes sequential CVD methods of depositing a low-fluorine W layer. U.S. Patent Publication No. 2016/0351444 describes PNL methods of depositing low fluorine W layers.
  • In some embodiments, the W-based growth layer is a WN, WC, or WCN film. Methods of depositing one or more of WN, WC, or WCN are described in each of U.S. Pat. Nos. 7,005,372; 8,053,365; 8,278,216; and U.S. patent application Ser. No. 15/474,383.
  • The W-based growth layers are not limited to the examples given above, but may be any W or other W-containing film deposited by any appropriate method including ALD, PNL, CVD, or physical vapor deposition (PVD) methods. ALD, PNL, and CVD deposition involves exposure to a W-containing precursor. In addition to the WF6 and WClx precursors, examples of W-containing precursors include tungsten hexacarbonyl (W(CO)6) and organo-metallic precursors such as MDNOW (methyl cyclopentadienyl-dicarbonylnitrosyl-tungsten) and EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten). In many ALD, PNL, and CVD deposition processes, a reducing agent is used to reduce the W-containing precursor. Examples include hydrogen gas (H2), silane (SiH4), disilane (Si2H6) hydrazine (N2H4), diborane (B2H6) and germane (GeH4).
  • Also as noted above, the W-containing films described herein may include some amount of other compounds, dopants and/or impurities such as nitrogen, carbon, oxygen, boron, phosphorous, sulfur, silicon, germanium and the like, depending on the particular precursors and processes used. The tungsten content in the film may range from 20% to 100% (atomic) tungsten. In many implementations, the films are tungsten-rich, having at least 50% (atomic) tungsten, or even at least about 60%, 75%, 90%, or 99% (atomic) tungsten. In some implementations, the films may be a mixture of elemental tungsten (W) and other tungsten-containing compounds such as WC, WN, etc.
  • The Mo-containing film may be deposited on the W-based growth initiation layer by any appropriate method including ALD or CVD. In some embodiments, sequential CVD processes may be used. Sequential CVD processes are described in U.S. Pat. No. 9,613,818, incorporated by reference herein.
  • Deposition of Mo-containing films may involve exposing the W-based growth initiation layer to a Mo-containing precursor and a reducing agent or other co-reactant, either simultaneously or sequentially. Examples of Mo-containing precursors include MoF6, MoCl5, MoOCl4, and Mo(CO)6. Organometallic precurors such as molybdenum silylcyclopentadienyl and molybdenum silylallyl complexes may be used. Mo film purity (e.g., as measured by O content) can be tuned by varying the precursor and co-reactant partial pressures.
  • Substrate temperature during Mo deposition may be between 300° C. to 750° C., and in particular embodiments, between 450° C. and 550° C. Substrate temperature will depend on the thermal budget and the deposition chemistry. Thermal budget depends on the applications, while high deposition temperature may not be an issue for memory applications, it can exceed the thermal budget for logic applications.
  • The presence of the W-containing growth initiation layer allows the deposition to be performed at lower temperatures. For example, Mo deposition from MoCl5 or MoOCl4 cannot be performed at temperatures less than 550° C. due to the strength of the Mo—Cl bond. However, with a W-containing growth initiation layer, the deposition can be performed at less than 550° C. Chamber pressure during Mo deposition may be, for example, 5 torr to 60 torr.
  • In some embodiments, H2 is used as reducing agent, rather than a stronger reducing agent such SiH4 or B2H6. These stronger reducing agents can result in an undesirable oxygen rich interface when using an oxygen-containing Mo-containing precursor. The Mo-containing film may an elemental Mo film, although such films may include some amount of other compounds, dopants and/or impurities depending on the particular precursors and processes used.
  • Mo-Containing Layer on a PNL-Deposited Mo Nucleation Layer
  • In certain embodiments, a Mo-containing layer may be deposited without the use of a W-based growth initiation layer. For example, an elemental Mo layer may be deposited on a TiN or dielectric layer. For certain precursors, deposition temperatures may be relatively high (above 550° C.) to obtain deposition. CVD deposition using chlorine-containing precursors such as MoOCl5, MoOCl4, and MoO2Cl2 may be performed at temperatures of greater than 550° C. on TiN and dielectric surfaces. At lower temperatures, CVD deposition may be performed on any surface using a W-based growth initiation layer as described above. Further, in some embodiments, CVD deposition may be performed on any surface using a Mo-containing nucleation layer deposited by a PNL process.
  • As described above, in a PNL process, pulses of a co-reactant, optional purge gases, and Mo-containing precursor are sequentially injected into and purged from the reaction chamber. In some embodiments, a Mo nucleation layer deposited using one or more of a boron-containing reducing agent (e.g., B2H6) or a silicon-containing reducing agent (e.g., SiH4) as a co-reactant. For example, one or more S/Mo cycles, where S/Mo refers to a pulse of silane followed by a pulse of a Mo-containing precursor, may be employed to deposit a PNL Mo nucleation layer on which a CVD Mo layer is deposited. In another example, one or more B/Mo cycles, where B/Mo refers to a pulse of diborane followed by a pulse of a Mo-containing precursor, may be employed to deposit a PNL Mo nucleation layer on which a CVD Mo layer is deposited. B/Mo and S/Mo cycles may both be used to deposit a PNL Mo nucleation layer, e.g., x(B/Mo)+y(S/Mo), with x and y being integers. For PNL deposition of a Mo nucleation layers, in some embodiments, the Mo-containing precursor may be a non-oxygen containing precursor, e.g., MoF6 or MoCl5. Oxygen in oxygen-containing precursors may react with a silicon- or boron-containing reducing agent to form MoSixOy or MoBxOy, which are impure, high resistivity films. Oxygen-containing precursors may be used with oxygen incorporation minimized. In some embodiments, H2 may be used as a reducing gas instead of a boron-containing or silicon-containing reducing gas. Example thicknesses for deposition of a Mo nucleation layer range from 5 Å to 30 Å. Films at the lower end of this range may not be continuous; however, as long as they can help initiate continuous bulk Mo growth, the thickness may be sufficient. In some embodiments, the reducing agent pulses may be done at lower substrate temperatures than the Mo precursor pulses. For example, or B2H6 or a SiH4 (or other boron- or silicon-containing reducing agent) pulse may be performed at a temperature below 300° C., with the Mo pulse at temperatures greater than 300° C.
  • Mo Deposition Using a Reducing Agent Layer
  • Deposition at lower temperatures (below 550° C.) may also be performed directly on non-W surfaces such as dielectric and TiN surfaces by a process as shown in FIG. 4A. It may also be used on W-containing surfaces. FIG. 4A provides a process flow diagram for a method performed in accordance with disclosed embodiments. Operations 402-408 of FIG. 4A may be performed to form a conformal Mo layer directly at least a dielectric surface or other surface.
  • In operation 402, the substrate is exposed to a reducing agent gas to form a reducing agent layer. In some embodiments, the reducing agent gas may be a silane, a borane, or a mixture of a silane and diborane. Examples of silanes including SiH4 and Si2H6 and examples of boranes include diborane (B2H6), as well as BnHn+4, BnHn+6, BnHn+8, BnHm, where n is an integer from 1 to 10, and m is a different integer than m. Other boron-containing compounds may also be used, e.g., alkyl boranes, alkyl boron, aminoboranes (CH3)2NB(CH2)2, carboranes such as C2BnHn+2. In some implementations, the reducing agent layer may include silicon or silicon-containing material, phosphorous or a phosphorous-containing material, germanium or a germanium-containing material, boron or boron-containing material that is capable of reducing a tungsten precursor and combinations thereof. Further example reducing agent gases that can be used to form such layers include PH3, SiH2Cl2, and GeH4. According to various embodiments, hydrogen may or may not be run in the background. (While hydrogen can reduce tungsten precursors, it does not function as a reducing agent in a gas mixture with a sufficient amount of stronger reducing agents such as silane and diborane.)
  • In some embodiments, the reducing agent gas is a mixture including a small amount of a boron-containing gas, such as diborane, with another reducing agent. The addition of a small amount of a boron-containing gas can greatly affect the decomposition and sticking coefficient of the other reducing agent. It should be noted that exposing the substrate sequentially to two reducing agents, e.g., silane and diborane may be performed. However, flowing a mixture of gases can facilitate the addition of very small amounts of a minority gas, e.g., at least a 100:1 ratio of silane to diborane. In some embodiments, a carrier gas may be flowed. In some embodiments, a carrier gas, such as nitrogen (N2), argon (Ar), helium (He), or other inert gases, may be flowed during operation 402.
  • In some embodiments, a reducing agent layer may include elemental silicon (Si), elemental boron (B), elemental germanium (Ge), or mixtures thereof. For example, as described below, a reducing agent layer may include Si and B. The amount of B may be tailored to achieve high deposition rate of the reducing agent layer but with low resistivity. In some embodiments, a reducing agent layer may have between 5% and 80% B for example, or between 5% and 50% B, between 5% and 30%, or between 5% and 20% B, with the balance consisting essentially of Si and in some cases, H. Hydrogen atoms be present, e.g., SiHx, BHy, GeHz, or mixtures thereof where x, y, and z may independently be between 0 and a number that is less than the stoichiometric equivalent of the corresponding reducing agent compound.
  • In some embodiments, the composition may be varied through the thickness of the reducing agent layer. For example, a reducing agent layer may be 20% B at the bottom of the reducing agent layer and 0% B the top of the layer. The total thickness of the reducing agent layer may be between 10 Å and 50 Å, and is some embodiments, between 15 Å and 40 Å, or 20 Å and 30 Å. The reducing agent layer conformally lines the feature.
  • Substrate temperature during operation 402 may be maintained at a temperature T1 for the film to be conformal. If temperature is too high, the film may not conform to the topography of the underlying structure. In some embodiments, step coverage of greater than 90% or 95% is achieved. For silane, diborane, and silane/diborane mixtures, conformality is excellent at 300° C. and may be degraded at temperatures of 400° C. or higher. Thus, in some embodiments, temperature during operation 202 is at most 350° C., or even at most 325° C., at most 315° C., or at most 300° C. In some embodiments, temperatures of less than 300° C. are used. For example, temperatures may be as low as 200° C.
  • Operation 402 may be performed for any suitable duration. In some examples, Example durations include between about 0.25 seconds and about 30 seconds, about 0.25 seconds and about 20 seconds, about 0.25 seconds and about 5 seconds, or about 0.5 seconds and about 3 seconds.
  • In operation 404, the chamber is optionally purged to remove excess reducing agent that did not adsorb to the surface of the substrate. A purge may be conducted by flowing an inert gas at a fixed pressure thereby reducing the pressure of the chamber and re-pressurizing the chamber before initiating another gas exposure. Example inert gases include nitrogen (N2), argon (Ar), helium (He), and mixtures thereof. The purge may be performed for a duration between about 0.25 seconds and about 30 seconds, about 0.25 seconds and about 20 seconds, about 0.25 seconds and about 5 seconds, or about 0.5 seconds and about 3 seconds.
  • In operation 406, the substrate is exposed to a Mo-containing precursor at a substrate temperature T2. Examples of Mo-containing compounds are given above and include chlorides and oxychlorides. Use of oxygen-containing precursors can lead to impurity incorporation and higher resistivity. However, if oxygen is incorporated, a very thin, possibly discontinuous reducing agent layer may be used for an acceptable resistivity. In some embodiments, a carrier gas, such as nitrogen (N2), argon (Ar), helium (He), or other inert gases, may be flowed during operation 406. Examples of temperatures are 500° C. to 700° C.
  • Operation 406 may be performed for any suitable duration. In some embodiments, it may involve a soak of the Mo-containing precursor and in some embodiments, a sequence of Mo-containing precursor pulses. According to various embodiments, operation 406 may or may not be performed in the presence of H2. If H2 is used, in some embodiments, it and the Mo-containing precursor may be applied in an ALD-type mode. For example:
  • Pulse of H2
  • Argon purge
  • Pulse of Mo-containing precursor with or without H2 in background
  • Argon purge
  • Repeat
  • The substrate temperature T2 is high enough that the Mo-containing precursor reacts with the reducing agent layer to form elemental Mo. The entire reducing agent layer is converted to Mo. In some embodiments, the temperature is at least 450° C., and may be at least 550° C. to obtain conversion of at or near 100%. The resulting feature is now lined with a conformal film of Mo. It may be between 10 Å and 50 Å, and is some embodiments, between 15 Å and 40 Å, or 20 Å and 30 Å. In general, it will be about the same thickness as the reducing agent layer. In some embodiments, it may be may be up to 5% thicker than the reducing agent layer due to volumetric expansion during the conversion. In some embodiments, a CVD Mo layer may be deposited on the conformal Mo layer.
  • Multi-Component Mo Film
  • In some embodiments, a multi-component Mo-containing film is provided. In some such embodiments, the multi-component Mo-containing film may include one or more of boron (B), silicon (Si), or germanium (Ge). FIG. 4B provides a process flow diagram for a method performed in accordance with disclosed embodiments.
  • First, a substrate is exposed to a reducing agent pulse (452). In some embodiments, a surface that is exposed to the reducing agent pulse on which the film is formed is a dielectric. According to various embodiments, the film may be formed on other types of surfaces including conducting and semiconducting surfaces.
  • The reducing agent employed in block 452 will reduce a Mo-containing precursor employed in a subsequent operation as well as provide a compound to be incorporated into the resulting film. Examples of such reducing agents include boron-containing, silicon-containing, and germanium-containing reducing agents. Examples of boron-containing reducing agents include boranes such BnHn+4, BnHn+6, BnHn+8, BnHm, where n is an integer from 1 to 10, and m is a different integer than m. In particular examples, diborane may be employed. Other boron-containing compounds may also be used, e.g., alkyl boranes, alkyl boron, aminoboranes (CH3)2NB(CH2)2, and carboranes such as C2BnHn+2. Examples of silicon-containing compounds include silanes such as SiH4 and Si2H6. Examples of germanium-containing compounds include germanes, such as GenHn+4, GenHn+6, GenHn+8, and GenHm, where n is an integer from 1 to 10, and n is a different integer than m. Other germanium-containing compounds may also be used, e.g., alkyl germanes, alkyl germanium, aminogermanes and carbogermanes.
  • According to various embodiments, block 452 may involve adsorption of a thin layer of thermally decomposed elemental boron, silicon, or germanium onto the surface of the substrate. In some embodiments, block 452 may involve adsorption of a precursor molecule onto substrate surface.
  • Next, the chamber in which the substrate sits may be optionally purged (454). A purge pulse or an evacuation can be employed to remove any byproduct, if present, and unadsorbed precursor. This is followed by a pulse of a Mo-containing precursor (456). In some embodiments, the Mo-containing precursor is a Cl-containing precursor such as MoOCl4, MoO2Cl2, and MoCl5. An optional purge (457) may be performed after block 456 as well. The Mo-containing precursor is reduced by the reducing agent (or a decomposition or reaction product thereof) to form the multi-component film.
  • A deposition cycle will typically deposit a portion of the Mo-containing layer. After block 457, a deposition cycle may be complete in some implementations with the deposited film being a tungsten-containing binary film such as MoBx, MoSix, and MoGex, where x is greater than zero. In such embodiments, the process may proceed to block 462 with repeating the cycle of blocks 452-457 until the desired thickness is deposited. Example growth rates may be about 100 Å per cycle.
  • In some embodiments, the process will proceed with optionally introducing a third reactant (458). The third reactant will generally contain an element to be introduced into the film, such as carbon or nitrogen. Examples of nitrogen-containing reactants include N2, NH3, and N2H4. Examples of carbon-containing reactants include CH4 and C2H2. An optional purge (459) may follow. The process may then proceed to block 462 with repeating the deposition cycle.
  • Examples of ternary films including nitrogen or carbon are given above. In some embodiments, a film may include both nitrogen and carbon (e.g., MoSiCN).
  • According to various embodiments, the multi-component tungsten film may have the following atomic percentages: Mo about 5% to 90%, B/Ge/Si about 5% to 60%, C/N about 5% to 80%. In some embodiments, the multi-component films have the following atomic percentages: Mo about 15% to about 80%; B/Ge/Si: about 15% to about 50%; and C/N about 20% to about 50%. According to various embodiments, the multi-component Mo film is at least 50% Mo.
  • According to various embodiments, the deposition is relatively high, e.g., between 500° C. and 700° C., including between 550° C. and 650° C., and in some embodiments greater than about 500° C. This facilitates Mo-containing precursor reduction and also permits incorporation of B, Si, or Ge into the binary film. The high end of the range may be limited by thermal budget considerations. In some embodiments, any one or more of blocks 452, 456, and 458 may be performed at a different temperature than any of the other blocks. In certain embodiments, transitioning from block 452 to block 456 and from block 456 to block 458 involves moving the substrate from one deposition station to another in a multi-station chamber. Still further, each of block 452, block 456, and block 458 may be performed in a different station of the same multi-station chamber. In some embodiments, the order of blocks 452, 456, and 458 may be changed.
  • In some embodiments, electrical properties such as work function of the binary or ternary film may be tuned by introducing nitrogen or carbon. Similarly, the amount of reducing agent may be modulated (by modulating dosage amount and/or pulse time) to tune the amount of B, Si, or Ge that is incorporated into the film. Still further, any one or two of blocks 452, 456 and 458 may be performed more than once per cycle to tune the relative amounts of the tungsten and the other components of the binary or ternary films and thus their physical, electrical, and chemical characteristics. The multi-component layer may include Mo, one or more of B, Si, and Ge, and, optionally, one or more of C and N. Examples include MoBx, MoSix, MoGex, MoBxNy, MoSixNy, MoGexNy, MoSixCy, MoBxCy, MoGexCy, where x and y are greater than zero.
  • It should be noted that in the process described with reference to FIG. 4B, an element in the reducing agent (B, Si, or Ge) is deliberately incorporated into the Mo-containing film. This is in contrast to certain PNL and CVD deposition processes described above and certain embodiments of the deposition process described in FIG. 4B in which a B-containing, Si-containing, or Ge-containing reducing agent may be used to form an element Mo film that has none of or only trace amounts of these elements. Incorporation of B, Ge, or Si can be controlled by the pulse duration and dosage amount. Further, in some embodiments, higher temperatures may be employed to increase incorporation. If the temperature is too high, it can result in uncontrolled decomposition of the reactant gas. In some embodiments, the substrate temperature may be lower temperature for the reducing agent gas and a higher temperature for the Mo precursor, as described above with respect to FIG. 4A.
  • In some embodiments, the process in FIG. 4B may be modified such that B, Si, or Ge is not incorporated into the film, but block 458 is performed to incorporate C and/N, e.g., to form MoC, MoN, or MoCN films. A C- and/or N-containing reactant may be used in such embodiments.
  • In some embodiments, the multi-component Mo-containing film is a diffusion barrier, e.g., for a wordline. In some embodiments, the multi-component tungsten-containing film is a work function layer for a metal gate. In some embodiments, a bulk Mo layer may deposited on the multi-component layer. The bulk layer may be deposited directly on the multi-component Mo-containing film without an intervening layer in some embodiments. In some embodiments, it may be deposited by CVD.
  • Experimental
  • CVD Mo films were grown on tungsten nucleation layers deposited by PNL using silane and diborane, respectively, to reduce WF6. The silane-deposited tungsten nucleation layer is referred to as a SW nucleation layer, and the diborane-deposited tungsten nucleation layer is referred to as a BW nucleation layer. Mo films were deposited from MoOCl4 and H2.
  • 30 Torr and 45 Torr process pressures were compared for each deposition. No Mo deposition and some W loss was observed at 30 Torr, with more W loss observed for BW nucleation than SW nucleation. Secondary ion mass spectrometry (SIMS) data showed O content at less than 1 atomic %.
  • Mo was deposited by CVD on SW nucleation layers and BW nucleation layers at different temperatures (500° C. and 520° C.), pressures (45 Torr and 60 Torr). The number of BW or SW cycles use to deposit the nucleation layer was also varied (1, 2, 3 or 4). FIGS. 5 and 6 show Mo thickness (Angstroms) vs. CVD Duration (seconds) and Mo Resistivity (μΩ-cm) vs Mo thickness (Angstroms), respectively.
  • Lower resistivity is observed at 60 Torr process pressure than at 45 Torr. No significant difference between 500° C. and 520° C. at 60 Torr was observed. For comparable BW nucleation layer and SW nucleation layer thicknesses, lower resistivity was observed on the SW nucleation layers. Higher resistivity was observed on thinner (fewer cycles) SW nucleation layers.
  • Mo was deposited by CVD on WCN at different temperatures (500° C. and 520° C.) and pressures (45 Torr and 60 Torr). FIG. 7 shows Mo growth rate and FIG. 8 shows resistivity vs Mo film thickness. FIG. 9 shows thickness and resistivity as a function of WCN underlayer thickness. WCN etching was observed at 45 Torr whereas uniform Mo deposition was observed at 60 Torr. At 60 Torr, a higher growth rate at 520° C. was observed, with temperature not impacting resistivity. Mo was grown on WCN as thin as 10 Angstroms, with thinner WCN resulting in lower resistivity. SIMS data showed that CVD Mo on WCN was smooth with less than 0.5 (atomic) % total impurities (e.g., O, B, C) in the bulk.
  • In some embodiments, Mo may be deposited selectively on a metal or pure (no native oxide) Si surface with respect to dielectric underlayers. For example, for metal contact or middle of line (MOL) logic applications, Mo can be grown selectively on metal, resulting in bottom-up, void free gap fill. In such applications, the Mo may be deposited directly on a metal or Si surface that is adjacent an exposed silicon dioxide or other exposed dielectric surface. The nucleation delay on the dielectric is such that the Mo is deposited preferentially on the metal surface. For example, a feature having a metal bottom and silicon dioxide sidewalls may be exposed to a Mo-containing precursor and a co-reactant. Mo will grow from the bottom-up rather than from the sidewalls.
  • Anneal
  • In some embodiments, a thermal anneal is performed after Mo deposition. This can allow Mo grain growth and lower resistivity. Because the melting point of Mo is lower than that of W, grain growth and the accompanying decrease in resistivity occur at lower temperatures for Mo films. Examples of anneal temperatures range from 700° C. to 1100° C. The anneal may be performed in a furnace or by rapid thermal annealing. According to various embodiments, it may be performed in any appropriate ambient, including a hydrogen (H2) ambient, a nitrogen (N2) ambient, or vacuum.
  • According to various embodiments, the Mo film may or may not be exposed to air between deposition and annealing. If it is exposed to air or other oxidizing environment, a reducing environment may be employed during or before anneal to remove molybdenum dioxide (MoO2) or molybdenum trioxide (MoO3) that has formed as a result of the exposure. MoO3 in particular has a melting point of 795° C. and could melt during anneal if not removed.
  • Table 1, below, compares two W films (A and B) and two Mo films (C and D)
  • A B C D
    Resistivity
    20 μΩ-cm at 28 μΩ-cm at 25 μΩ-cm at 17 μΩ-cm at
    20 nm 20 nm 10 nm 10 nm
    40 μΩ-cm at (after 800 C.
    10 nm anneal)
    Composition <3E18 at/cm3 F <5E18 at/cm3 Cl, 95% Mo + 5% <1% O, <1E19
    F below detection H, <1E19 at/ at/cm3 Cl
    limit cm3 Cl
    Stress <0.55 Gpa @ <0.2 Gpa @ 0.4 GPa @ 0.6 GPa @ 30 nm
    20 nm 20 nm 70 nm
  • Film A is a low fluorine tungsten (LFW) film deposited using WF6. Film B is a tungsten film deposited using WCl5 and WCl6. Film C is a molybdenum film deposited using MoCl5 and film D is a molybdenum film deposited using MoOCl4. Film D was subject to a post-deposition anneal. Notably, the resistivity is lower for Films C and D than films A and B. Resistivity decreases with thickness, with the 25μΩ-cm (film C) and 17μΩ-cm (film D) directly comparable to the 40μΩ-cm (film A). Film D, deposited with an O-containing precursor, shows low O. The stress of films C and D is comparable to that of films A and B.
  • FIG. 10 is a graph showing the reduction in resistivity for Mo films of various thicknesses deposited on WCN after anneal at 800° C. Resistivity of a W film on WCN is also shown for comparison. A significant decrease in resistivity is observed. The decrease in resistivity is due to grain growth. Table 2, below, shows phases and average grain size for Mo grains in as deposited and post-anneal CVD Mo films.
  • Average Crystallite
    Sample Phase Size (nm)
    CVD Mo/WCN Mo - Molybdenum Cubic 14.5
    as deposited
    CVD Mo/WCN Mo - Molybdenum Cubic 33.5
    post-anneal

    Furnace anneals of 1 hour and 5 mins at 800° C. in H2 ambient showed comparable results.
  • Apparatus
  • Any suitable chamber may be used to implement the disclosed embodiments. Example deposition apparatuses include various systems, e.g., ALTUS® and ALTUS® Max, available from Lam Research Corp., of Fremont, Calif., or any of a variety of other commercially available processing systems. The process can be performed on multiple deposition stations in parallel.
  • In some embodiments, a tungsten nucleation process is performed at a first station that is one of two, five, or even more deposition stations positioned within a single deposition chamber. In some embodiments, various steps for the nucleation process are performed at two different stations of a deposition chamber. For example, the substrate may be exposed to diborane (B2H6) in a first station using an individual gas supply system that creates a localized atmosphere at the substrate surface, and then the substrate may be transferred to a second station to be exposed to a precursor such as tungsten hexachloride (WCl6) to deposit the nucleation layer. In some embodiments, the substrate may then be transferred back to the first station for a second exposure of diborane or to a third station for a third reactant exposure. Then the substrate may be transferred to the second station for exposure to WCl6 (or other tungsten chloride) to complete tungsten nucleation and proceed with bulk molybdenum deposition in the same or different station. One or more stations can then be used to perform Mo chemical vapor deposition (CVD) as described above.
  • FIG. 11 is a block diagram of a processing system suitable for conducting deposition processes in accordance with embodiments described herein. The system 1100 includes a transfer module 1103. The transfer module 1103 provides a clean, pressurized environment to minimize the risk of contamination of substrates being processed as they are moved between the various reactor modules. Mounted on the transfer module 1103 is a multi-station reactor 1109 capable of performing nucleation layer deposition, which may be referred to as pulsed nucleation layer (PNL) deposition, as well as CVD deposition according to embodiments described herein. Chamber 1109 may include multiple stations 1111, 1113, 1115, and 1117 that may sequentially perform these operations. For example, chamber 1109 could be configured such that stations 1111 and 1113 perform PNL deposition, and stations 1113 and 1115 perform CVD. Each deposition station may include a heated wafer pedestal and a showerhead, dispersion plate or other gas inlet.
  • Also mounted on the transfer module 1103 may be one or more single or multi-station modules 1107 capable of performing plasma or chemical (non-plasma) pre-cleans. The module may also be used for various other treatments, e.g., reducing agent soaking. The system 1100 also includes one or more (in this case two) wafer source modules 1101 where wafers are stored before and after processing. An atmospheric robot (not shown) in the atmospheric transfer chamber 1119 first removes wafers from the source modules 1101 to loadlocks 1121. A wafer transfer device (generally a robot arm unit) in the transfer module 1103 moves the wafers from loadlocks 1121 to and among the modules mounted on the transfer module 1103.
  • In certain embodiments, a system controller 1129 is employed to control process conditions during deposition. The controller will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
  • The controller may control all of the activities of the deposition apparatus. The system controller executes system control software including sets of instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, radio frequency (RF) power levels if used, wafer chuck or pedestal position, and other parameters of a particular process. Other computer programs stored on memory devices associated with the controller may be employed in some embodiments.
  • Typically there will be a user interface associated with the controller. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • System control logic may be configured in any suitable way. In general, the logic can be designed or configured in hardware and/or software. The instructions for controlling the drive circuitry may be hard coded or provided as software. The instructions may be provided by “programming.” Such programming is understood to include logic of any form, including hard coded logic in digital signal processors, application-specific integrated circuits, and other devices which have specific algorithms implemented as hardware. Programming is also understood to include software or firmware instructions that may be executed on a general purpose processor. System control software may be coded in any suitable computer readable programming language. Alternatively, the control logic may be hard coded in the controller. Applications Specific Integrated Circuits, Programmable Logic Devices (e.g., field-programmable gate arrays, or FPGAs) and the like may be used for these purposes. In the following discussion, wherever “software” or “code” is used, functionally comparable hard coded logic may be used in its place.
  • The computer program code for controlling the deposition and other processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.
  • The controller parameters relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels and the low frequency RF frequency, cooling gas pressure, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller. The signals for controlling the process are output on the analog and digital output connections of the deposition apparatus.
  • The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the inventive deposition processes. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, heater control code, and plasma control code.
  • In some implementations, a controller 1129 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller 1129, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings in some systems, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • The controller 1129, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller 1129 may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a CVD chamber or module, an ALD chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • The controller 1129 may include various programs. A substrate positioning program may include program code for controlling chamber components that are used to load the substrate onto a pedestal or chuck and to control the spacing between the substrate and other parts of the chamber such as a gas inlet and/or target. A process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into the chamber prior to deposition in order to stabilize the pressure in the chamber. A pressure control program may include code for controlling the pressure in the chamber by regulating, e.g., a throttle valve in the exhaust system of the chamber. A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas such as helium to the wafer chuck.
  • Examples of chamber sensors that may be monitored during deposition include mass flow controllers, pressure sensors such as manometers, and thermocouples located in pedestal or chuck. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain desired process conditions.
  • The foregoing describes implementation of embodiments of the disclosure in a single or multi-chamber semiconductor processing tool.
  • The foregoing describes implementation of disclosed embodiments in a single or multi-chamber semiconductor processing tool. The apparatus and process described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically comprises some or all of the following steps, each step provided with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • CONCLUSION
  • Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims (21)

1.-17. (canceled)
18. A method comprising:
providing a substrate comprising a feature having a dielectric surface;
forming a molybdenum layer directly on the dielectric surface without an intervening diffusion barrier layer.
19. The method of claim 18, wherein forming the molybdenum layer comprises forming a reducing agent layer on the dielectric surface.
20. The method of claim 19, wherein forming the molybdenum layer further comprises exposing the reducing agent layer to a molybdenum-containing precursor.
21. The method of claim 20, wherein the reducing agent layer is converted to molybdenum by the exposure.
22. The method of claim 19, wherein the reducing agent layer is conformal to the feature.
23. The method of claim 2, wherein the reducing agent layer is between 10 Angstroms and 50 Angstroms thick.
24. The method of claim 18, wherein the dielectric surface is a silicon oxide surface.
25. The method of claim 18, wherein the dielectric surface is a silicon nitride surface
26. The method of claim 18, wherein the dielectric surface is an aluminum oxide surface.
27. The method of claim 18, wherein the feature further comprises a conductive surface.
28. The method of claim 18, wherein molybdenum layer has less than 1 (atomic) % impurities.
29. The method of claim 18, wherein molybdenum layer is formed from one of: molybdenum hexafluoride (MoF6), molybdenum pentachloride (MoCl5), molybdenum dichloride dioxide (MoO2Cl2), molybdenum tetrachloride oxide (MoOCl4), and molybdenum hexacarbonyl (Mo(CO)6).
30. The method of claim 18, wherein molybdenum layer is formed from an organometallic precursor.
31. A method comprising:
providing a substrate comprising a feature having a dielectric surface;
forming a conformal reducing agent layer in the feature including directly on the dielectric surface; and
exposing the reducing agent layer to a molybdenum-containing precursor to form a conformal molybdenum layer including directly on the dielectric surface.
32. The method of claim 31, wherein the reducing agent layer is between 10 Angstroms and 50 Angstroms thick.
33. The method of claim 31, wherein the dielectric surface is a silicon oxide surface, a silicon nitride surface, or an aluminum oxide surface.
34. The method of claim 31, wherein the molybdenum precursor is one of molybdenum hexafluoride (MoF6), molybdenum pentachloride (MoCl5), molybdenum dichloride dioxide (MoO2Cl2), molybdenum tetrachloride oxide (MoOCl4), and molybdenum hexacarbonyl (Mo(CO)6).
35. The method of claim 31, wherein molybdenum precursor is an organometallic precursor.
36. A method comprising:
depositing a molybdenum-containing nucleation layer on a substrate using a first reducing agent; and
depositing by chemical vapor deposition (CVD) a molybdenum bulk layer on the molybdenum nucleation layer using a second reducing agent, wherein the second reducing agent is different from the first reducing agent.
37. The method of claim 36, wherein the molybdenum bulk layer is deposited by a reducing a molybdenum compound selected from: molybdenum hexafluoride (MoF6), molybdenum pentachloride (MoCl5), molybdenum dichloride dioxide (MoO2Cl2), molybdenum tetrachloride oxide (MoOCl4), and molybdenum hexacarbonyl (Mo(CO)6).
US16/947,286 2017-04-10 2020-07-27 Low resistivity films containing molybdenum Abandoned US20200365456A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US16/947,286 US20200365456A1 (en) 2017-04-10 2020-07-27 Low resistivity films containing molybdenum
US17/589,416 US20220223471A1 (en) 2017-04-10 2022-01-31 Low resistivity films containing molybdenum

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762483857P 2017-04-10 2017-04-10
US15/948,143 US10510590B2 (en) 2017-04-10 2018-04-09 Low resistivity films containing molybdenum
US16/676,169 US10777453B2 (en) 2017-04-10 2019-11-06 Low resistivity films containing molybdenum
US16/947,286 US20200365456A1 (en) 2017-04-10 2020-07-27 Low resistivity films containing molybdenum

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/676,169 Continuation US10777453B2 (en) 2017-04-10 2019-11-06 Low resistivity films containing molybdenum

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/589,416 Continuation US20220223471A1 (en) 2017-04-10 2022-01-31 Low resistivity films containing molybdenum

Publications (1)

Publication Number Publication Date
US20200365456A1 true US20200365456A1 (en) 2020-11-19

Family

ID=63711237

Family Applications (4)

Application Number Title Priority Date Filing Date
US15/948,143 Active US10510590B2 (en) 2017-04-10 2018-04-09 Low resistivity films containing molybdenum
US16/676,169 Active US10777453B2 (en) 2017-04-10 2019-11-06 Low resistivity films containing molybdenum
US16/947,286 Abandoned US20200365456A1 (en) 2017-04-10 2020-07-27 Low resistivity films containing molybdenum
US17/589,416 Pending US20220223471A1 (en) 2017-04-10 2022-01-31 Low resistivity films containing molybdenum

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US15/948,143 Active US10510590B2 (en) 2017-04-10 2018-04-09 Low resistivity films containing molybdenum
US16/676,169 Active US10777453B2 (en) 2017-04-10 2019-11-06 Low resistivity films containing molybdenum

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/589,416 Pending US20220223471A1 (en) 2017-04-10 2022-01-31 Low resistivity films containing molybdenum

Country Status (6)

Country Link
US (4) US10510590B2 (en)
JP (2) JP7224335B2 (en)
KR (3) KR20230127377A (en)
CN (1) CN110731003B (en)
TW (1) TW201903847A (en)
WO (1) WO2018191183A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11355345B2 (en) 2016-08-16 2022-06-07 Lam Research Corporation Method for preventing line bending during metal fill process
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
WO2023114648A1 (en) * 2021-12-15 2023-06-22 Lam Research Corporation Low temperature molybdenum deposition assisted by silicon-containing reactants
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
WO2024020029A1 (en) * 2022-07-20 2024-01-25 Applied Materials, Inc. Conformal molybdenum deposition
US11970776B2 (en) 2020-01-27 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films

Families Citing this family (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
CN110731003B (en) 2017-04-10 2024-03-26 朗姆研究公司 Low resistivity film containing molybdenum
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US20190067095A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131628A1 (en) * 2018-10-24 2020-04-30 Entegris, Inc. Method for forming molybdenum films on a substrate
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR102355507B1 (en) * 2018-11-14 2022-01-27 (주)디엔에프 Method of manufacturing a molybdenum-containing thin film and molybdenum-containing thin film manufactured thereby
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN113169056A (en) * 2018-11-19 2021-07-23 朗姆研究公司 Molybdenum template for tungsten
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
SG11202108217UA (en) * 2019-01-28 2021-08-30 Lam Res Corp Deposition of metal films
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
TW202117050A (en) 2019-08-12 2021-05-01 美商應用材料股份有限公司 Molybdenum thin films by oxidation-reduction
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) * 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
JP2021034591A (en) * 2019-08-26 2021-03-01 キオクシア株式会社 Semiconductor device and manufacturing method of the same
CN110512208A (en) * 2019-09-03 2019-11-29 北京工业大学 A method of strong adhesive force molybdenum layer is prepared in titanium alloy surface
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20220082023A (en) * 2019-10-15 2022-06-16 램 리써치 코포레이션 Molybdenum filling
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
JP7117336B2 (en) * 2020-01-30 2022-08-12 株式会社Kokusai Electric Semiconductor device manufacturing method, program and substrate processing apparatus
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210111017A (en) * 2020-03-02 2021-09-10 주식회사 원익아이피에스 Method for treating substrate and the semiconductor device manufactured by using the same
US11821080B2 (en) 2020-03-05 2023-11-21 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Reagents to remove oxygen from metal oxyhalide precursors in thin film deposition processes
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
WO2021239596A1 (en) * 2020-05-26 2021-12-02 Merck Patent Gmbh Methods of forming molybdenum-containing films deposited on elemental metal films
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
CN115803331A (en) 2020-07-09 2023-03-14 恩特格里斯公司 Group VI precursor compounds
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
KR20220011092A (en) * 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. Method and system for forming structures including transition metal layers
TW202204662A (en) * 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JPWO2022059170A1 (en) * 2020-09-18 2022-03-24
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
US11459347B2 (en) 2021-01-12 2022-10-04 Applied Materials, Inc. Molybdenum(IV) and molybdenum(III) precursors for deposition of molybdenum films
US11390638B1 (en) 2021-01-12 2022-07-19 Applied Materials, Inc. Molybdenum(VI) precursors for deposition of molybdenum films
US11854813B2 (en) 2021-02-24 2023-12-26 Applied Materials, Inc. Low temperature deposition of pure molybenum films
WO2022221210A1 (en) * 2021-04-14 2022-10-20 Lam Research Corporation Deposition of molybdenum
US11760768B2 (en) 2021-04-21 2023-09-19 Applied Materials, Inc. Molybdenum(0) precursors for deposition of molybdenum films
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113463063A (en) * 2021-06-11 2021-10-01 厦门中材航特科技有限公司 Preparation method of refractory metal material
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023086298A1 (en) * 2021-11-10 2023-05-19 Entegris, Inc. Molybdenum precursor compounds
US20240038541A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Methods for removing molybdenum oxides from substrates

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US162106A (en) * 1875-04-13 Improvement in corn-planters
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
JP2536377B2 (en) * 1992-11-27 1996-09-18 日本電気株式会社 Semiconductor device and manufacturing method thereof
TW314654B (en) 1996-09-07 1997-09-01 United Microelectronics Corp Manufacturing method of conductive plug
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
CN1115723C (en) 1996-11-15 2003-07-23 三星电子株式会社 Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method using the same
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6958174B1 (en) * 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
FR2795745B1 (en) * 1999-06-30 2001-08-03 Saint Gobain Vitrage PROCESS FOR DEPOSITING A TUNGSTENE AND / OR MOLYBDENE LAYER ON A GLASS, CERAMIC OR VITROCERAMIC SUBSTRATE, AND SUBSTRATE THUS COATED
US6358788B1 (en) 1999-08-30 2002-03-19 Micron Technology, Inc. Method of fabricating a wordline in a memory array of a semiconductor device
KR100330163B1 (en) 2000-01-06 2002-03-28 윤종용 A Method of Forming Tungsten Contact Plug in A Semiconductor Devices
JP2001284360A (en) * 2000-03-31 2001-10-12 Hitachi Ltd Semiconductor device
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
JP2005026380A (en) 2003-06-30 2005-01-27 Toshiba Corp Semiconductor device including nonvolatile memory and its manufacturing method
JP2005150416A (en) 2003-11-17 2005-06-09 Hitachi Ltd Semiconductor integrated circuit device and its manufacturing method
US8278216B1 (en) 2006-08-18 2012-10-02 Novellus Systems, Inc. Selective capping of copper
KR100881391B1 (en) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 Method for forming gate of semiconductor device
CN101308794B (en) 2007-05-15 2010-09-15 应用材料股份有限公司 Atomic layer deposition of tungsten material
KR100890047B1 (en) 2007-06-28 2009-03-25 주식회사 하이닉스반도체 Method for fabricating interconnection in semicondutor device
US8080324B2 (en) 2007-12-03 2011-12-20 Kobe Steel, Ltd. Hard coating excellent in sliding property and method for forming same
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8385644B2 (en) 2008-07-08 2013-02-26 Zeitera, Llc Digital video fingerprinting based on resultant weighted gradient orientation computation
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
JP2010093116A (en) 2008-10-09 2010-04-22 Panasonic Corp Semiconductor device and method for manufacturing the same
US20100120245A1 (en) 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
KR20100096488A (en) * 2009-02-24 2010-09-02 삼성전자주식회사 Semiconductor device having recess channel structure
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
JP5730670B2 (en) * 2011-05-27 2015-06-10 株式会社Adeka Method for producing thin film containing molybdenum oxide, and raw material for forming thin film containing molybdenum oxide
WO2013063260A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
JP6195898B2 (en) 2012-03-27 2017-09-13 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Feature filling with tungsten with nucleation inhibition
KR102131581B1 (en) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 Tungsten feature fill
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
WO2014140672A1 (en) * 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US20150348840A1 (en) 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten
US9551074B2 (en) * 2014-06-05 2017-01-24 Lam Research Corporation Electroless plating solution with at least two borane containing reducing agents
US20160064409A1 (en) * 2014-08-29 2016-03-03 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
JP2016098406A (en) 2014-11-21 2016-05-30 東京エレクトロン株式会社 Film deposition method of molybdenum film
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
JP6929790B2 (en) * 2015-05-27 2021-09-01 エーエスエム アイピー ホールディング ビー.ブイ. How to synthesize and use precursors for ALD of molybdenum or tungsten-containing thin films
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US10121671B2 (en) * 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
US20180019165A1 (en) * 2016-07-14 2018-01-18 Entegris, Inc. CVD Mo DEPOSITION BY USING MoOCl4
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10453744B2 (en) 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US20180142345A1 (en) * 2016-11-23 2018-05-24 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US10283404B2 (en) 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
CN110731003B (en) 2017-04-10 2024-03-26 朗姆研究公司 Low resistivity film containing molybdenum
US10607895B2 (en) * 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
CN112514052A (en) 2018-07-31 2021-03-16 朗姆研究公司 Multi-layer feature filling

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11355345B2 (en) 2016-08-16 2022-06-07 Lam Research Corporation Method for preventing line bending during metal fill process
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
US11970776B2 (en) 2020-01-27 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
WO2023114648A1 (en) * 2021-12-15 2023-06-22 Lam Research Corporation Low temperature molybdenum deposition assisted by silicon-containing reactants
WO2024020029A1 (en) * 2022-07-20 2024-01-25 Applied Materials, Inc. Conformal molybdenum deposition

Also Published As

Publication number Publication date
JP2020513065A (en) 2020-04-30
JP2022184943A (en) 2022-12-13
WO2018191183A1 (en) 2018-10-18
US20180294187A1 (en) 2018-10-11
KR102466639B1 (en) 2022-11-11
KR20230127377A (en) 2023-08-31
CN110731003A (en) 2020-01-24
CN110731003B (en) 2024-03-26
KR20190130046A (en) 2019-11-20
US20220223471A1 (en) 2022-07-14
US20200075403A1 (en) 2020-03-05
KR20220110343A (en) 2022-08-05
JP7224335B2 (en) 2023-02-17
KR102572271B1 (en) 2023-08-28
US10777453B2 (en) 2020-09-15
US10510590B2 (en) 2019-12-17
TW201903847A (en) 2019-01-16

Similar Documents

Publication Publication Date Title
US10777453B2 (en) Low resistivity films containing molybdenum
US20230290680A1 (en) Self-limiting growth
US10529722B2 (en) Tungsten for wordline applications
US9595470B2 (en) Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US9613818B2 (en) Deposition of low fluorine tungsten by sequential CVD process
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
US20220375792A1 (en) Molybdenum fill
US20220359211A1 (en) Molybdenum templates for tungsten
US20240006180A1 (en) Low resistance pulsed cvd tungsten
US20220254685A1 (en) Nucleation-free tungsten deposition
US20220349048A1 (en) Reducing line bending during metal fill process
US20210335617A1 (en) Atomic layer deposition on 3d nand structures

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION