TW202117050A - Molybdenum thin films by oxidation-reduction - Google Patents

Molybdenum thin films by oxidation-reduction Download PDF

Info

Publication number
TW202117050A
TW202117050A TW109127195A TW109127195A TW202117050A TW 202117050 A TW202117050 A TW 202117050A TW 109127195 A TW109127195 A TW 109127195A TW 109127195 A TW109127195 A TW 109127195A TW 202117050 A TW202117050 A TW 202117050A
Authority
TW
Taiwan
Prior art keywords
molybdenum
film
oxide film
organometallic
substrate
Prior art date
Application number
TW109127195A
Other languages
Chinese (zh)
Inventor
鳳全 劉
亞歷山大 珍森
馬克 薩利
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202117050A publication Critical patent/TW202117050A/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

A method of forming a molybdenum film by oxidation and reduction is disclosed. A molybdenum oxide film is formed by CVD or ALD using a halide free organometallic molybdenum precursor. The molybdenum oxide film contains low amounts of carbon impurities. The molybdenum oxide film is reduced to form a highly pure molybdenum film. The molybdenum film has low resistance and properties similar to bulk molybdenum.

Description

經氧化還原的鉬薄膜Redox molybdenum film

本發明的實施例大體上關於形成高純度金屬膜的方法。某些實施例提供形成高純度鉬膜的方法。The embodiments of the present invention generally relate to a method of forming a high-purity metal film. Certain embodiments provide methods for forming high purity molybdenum films.

由於相較於其他金屬之較低電阻與熱穩定性,鉬薄膜最近已經吸引到許多注意。因此,鉬已經成為用於金屬覆蓋的較優材料,作為導體以取代鈷作為覆蓋層、及/或以取代鎢作為導體。Due to its lower electrical resistance and thermal stability compared to other metals, molybdenum thin films have recently attracted a lot of attention. Therefore, molybdenum has become a better material for metal covering, as a conductor instead of cobalt as a covering layer, and/or instead of tungsten as a conductor.

現行鉬膜沉積處理仰賴帶有單矽烷(mono-silane)的MoF6 。此處理產生含有F與Si的膜。此外,暴露於MoF6 前驅物會造成基板上的下方材料的損傷。The current molybdenum film deposition process relies on MoF 6 with mono-silane. This treatment produces a film containing F and Si. In addition, exposure to MoF 6 precursors can cause damage to the underlying materials on the substrate.

替代的鉬形成處理已經仰賴有機金屬前驅物。以有機金屬前驅物沉積的膜通常含有顯著數量的碳。在這兩種情況中,膜的污染物改變膜的性質且使得膜不適用於若干應用。Alternative molybdenum formation processes have relied on organometallic precursors. Films deposited with organometallic precursors usually contain significant amounts of carbon. In both cases, the contaminants of the membrane change the properties of the membrane and make the membrane unsuitable for several applications.

因此,需要形成高純度鉬膜的方法及不將基板暴露於含鹵化物前驅物而沉積高純度膜的方法。Therefore, there is a need for a method of forming a high-purity molybdenum film and a method of depositing a high-purity film without exposing the substrate to a halide-containing precursor.

本發明的一或多個實施例關於形成鉬膜的方法。此方法包含將基板表面暴露於有機金屬鉬前驅物與氧化劑以形成氧化鉬膜。還原此氧化鉬膜以形成鉬膜。One or more embodiments of the present invention relate to a method of forming a molybdenum film. The method includes exposing the surface of the substrate to an organometallic molybdenum precursor and an oxidizing agent to form a molybdenum oxide film. This molybdenum oxide film is reduced to form a molybdenum film.

本發明的額外實施例關於形成鉬膜的方法。此方法包含將維持在約100°C至約500°C的範圍中之溫度的基板表面暴露於複數個ALD循環以形成具有0.2 nm至約100 nm的範圍中之厚度的氧化鉬膜。每個循環包含暴露於有機金屬鉬前驅物與氧化劑。有機金屬鉬前驅物實質上不包含鹵素原子。當維持在約250°C至約500°C的範圍中之溫度時,此氧化鉬膜暴露於醇類以形成鉬膜。An additional embodiment of the present invention relates to a method of forming a molybdenum film. This method includes exposing a substrate surface maintained at a temperature in the range of about 100° C. to about 500° C. to a plurality of ALD cycles to form a molybdenum oxide film having a thickness in the range of 0.2 nm to about 100 nm. Each cycle involves exposure to organometallic molybdenum precursors and oxidants. The organometallic molybdenum precursor does not substantially contain halogen atoms. When maintained at a temperature in the range of about 250°C to about 500°C, this molybdenum oxide film is exposed to alcohols to form a molybdenum film.

進一步實施例關於形成鉬膜的方法。此方法包含將基板表面暴露於複數個ALD循環以形成具有0.2 nm至約100 nm的範圍中之厚度的氧化鉬膜。每個循環包含暴露於有機金屬鉬前驅物與氧化劑。此氧化鉬膜具有小於或等於約5原子百分比的碳含量。此氧化鉬膜暴露於還原劑以形成鉬膜。此鉬膜具有小於或等於約5原子百分比的雜質含量。A further embodiment relates to a method of forming a molybdenum film. This method includes exposing the surface of the substrate to a plurality of ALD cycles to form a molybdenum oxide film having a thickness in the range of 0.2 nm to about 100 nm. Each cycle involves exposure to organometallic molybdenum precursors and oxidants. This molybdenum oxide film has a carbon content of less than or equal to about 5 atomic percent. This molybdenum oxide film is exposed to a reducing agent to form a molybdenum film. This molybdenum film has an impurity content of less than or equal to about 5 atomic percent.

在說明本發明的數個示例實施例之前,將理解到本發明並不侷限於在之後的說明書中所述的架構或處理步驟的細節。本發明可為其他的實施例且可以各種方式實施或執行。Before describing several exemplary embodiments of the present invention, it will be understood that the present invention is not limited to the details of the architecture or processing steps described in the following specification. The present invention may be other embodiments and may be implemented or executed in various ways.

當在本說明書與隨附申請專利範圍中使用時,用語「基板」指稱一表面或一表面的一部分,且在其上方可進行處理。除非在上下文中清楚地指出並非如此,本領域通常知識者也將理解到關於一基板,可僅指稱此基板的一部分。此外,關於沉積在基板上可意指在赤裸的基板與具有一或多個膜或特徵沉積或形成在其上的基板兩者上。When used in the scope of this specification and the appended application, the term "substrate" refers to a surface or a part of a surface, on which processing can be performed. Unless clearly indicated otherwise in the context, those skilled in the art will also understand that with regard to a substrate, only a part of the substrate can be referred to. In addition, reference to deposition on a substrate can mean both on a bare substrate and a substrate having one or more films or features deposited or formed thereon.

本文所使用的「基板」指稱任何基板或形成在基板上的材料表面,膜處理在製造處理期間在其上方執行。例如,在其上方可執行處理的基板表面包括材料,諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、及任何其他材料,諸如金屬、金屬氮化物(例如,氮化鈦、氮化鉭)、金屬合金、及其他導電材料,取決於應用。基板不受限地包括半導體晶圓。基板可暴露至預處理製程以拋光、蝕刻、還原、氧化、羥基化、退火、UV固化、電子束固化及/或烘烤基板表面。除了直接在基板本身表面上的膜處理之外,在本發明中,所揭示的任何膜處理步驟也可執行在形成在基板上的下方層上,如之後更詳細說明的,而用語「基板表面」意欲包括當上下文所指示的此下方層。因此,例如,在膜/層或部分的膜/層已經沉積在基板表面上的情況,新沉積的膜/層的暴露表面成為基板表面。As used herein, "substrate" refers to any substrate or material surface formed on the substrate, on which film processing is performed during the manufacturing process. For example, the surface of the substrate on which processing can be performed includes materials such as silicon, silicon oxide, strained silicon, silicon-on-insulator (SOI), carbon-doped silicon oxide, amorphous silicon, doped silicon, germanium, gallium arsenide, Glass, sapphire, and any other materials such as metals, metal nitrides (e.g., titanium nitride, tantalum nitride), metal alloys, and other conductive materials, depending on the application. The substrate includes a semiconductor wafer without limitation. The substrate can be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, UV cure, electron beam cure and/or bake the surface of the substrate. In addition to the film processing directly on the surface of the substrate itself, in the present invention, any of the film processing steps disclosed can also be performed on the underlying layer formed on the substrate, as explained in more detail later, and the term "substrate surface "Is intended to include this lower layer as the context dictates. Therefore, for example, in the case where the film/layer or part of the film/layer has been deposited on the surface of the substrate, the exposed surface of the newly deposited film/layer becomes the surface of the substrate.

本發明的實施例關於藉由氧化與還原而形成鉬膜的方法。本發明的某些實施例有利地提供沉積具有高純度金屬膜的方法。本發明的某些實施例有利地提供高純度鉬膜。因此,這些高純度膜展現出類似於與其相關的塊體材料的性質。例如,本發明的某些實施例提供相較於藉由習知處理沉積的鉬膜具有較低電阻的鉬膜。本發明的某些實施例有利地提供不使用含鹵素前驅物之鉬膜。本發明的某些實施例有利地提供以醇類還原金屬氧化物的方法。The embodiment of the present invention relates to a method of forming a molybdenum film by oxidation and reduction. Certain embodiments of the present invention advantageously provide methods for depositing metal films with high purity. Certain embodiments of the present invention advantageously provide high purity molybdenum films. Therefore, these high-purity membranes exhibit properties similar to the bulk materials with which they are related. For example, certain embodiments of the present invention provide a molybdenum film having a lower electrical resistance than that of a molybdenum film deposited by a conventional process. Certain embodiments of the present invention advantageously provide molybdenum films that do not use halogen-containing precursors. Certain embodiments of the present invention advantageously provide methods for reducing metal oxides with alcohols.

在本說明書與隨附申請專利範圍中使用時,用語「前驅物」、「反應物」、「反應氣體」與類似物可互換地使用以指稱可與基板表面反應的任何氣態物種。When used in the scope of this specification and the accompanying patent application, the terms "precursor", "reactant", "reactive gas" and the like are used interchangeably to refer to any gaseous species that can react with the surface of the substrate.

第1圖繪示根據本發明的一或多個實施例之用於釕膜的形成之示例處理序列100。在步驟110處,形成氧化鉬膜。根據本發明的某些實施例,藉由將基板表面在步驟112處暴露於有機金屬鉬前驅物與在步驟114處暴露於氧化劑而形成氧化鉬膜。FIG. 1 shows an example processing sequence 100 for the formation of a ruthenium film according to one or more embodiments of the present invention. At step 110, a molybdenum oxide film is formed. According to some embodiments of the present invention, the molybdenum oxide film is formed by exposing the surface of the substrate to the organometallic molybdenum precursor at step 112 and to the oxidizing agent at step 114.

根據第1圖,在步驟110處之氧化鉬膜的形成不應被理解為侷限於同時或依序暴露於基板表面。在之後參照第2圖說明關於同時暴露的特定實施例。在之後參照第3圖說明關於依序暴露的特定實施例。According to Figure 1, the formation of the molybdenum oxide film at step 110 should not be understood as being limited to simultaneous or sequential exposure to the substrate surface. A specific embodiment regarding simultaneous exposure will be described later with reference to FIG. 2. Hereinafter, a specific embodiment of sequential exposure will be described with reference to FIG. 3.

在步驟112處,基板表面暴露於有機金屬鉬前驅物。有機金屬鉬前驅物可為用於形成氧化鉬膜的任何合適鉬前驅物。At step 112, the surface of the substrate is exposed to the organometallic molybdenum precursor. The organometallic molybdenum precursor can be any suitable molybdenum precursor used to form a molybdenum oxide film.

在某些實施例中,有機金屬鉬前驅物實質上不包含鹵素原子。不被理論所侷限,據信使用不具有鹵素原子的有機金屬鉬前驅物在氧化鉬膜的沉積期間防止損傷下方基板材料。In some embodiments, the organometallic molybdenum precursor contains substantially no halogen atoms. Without being limited by theory, it is believed that the use of organometallic molybdenum precursors without halogen atoms prevents damage to the underlying substrate material during the deposition of the molybdenum oxide film.

在某些實施例中,有機金屬鉬前驅物包含至少一個鉬-碳鍵結。例如,羰基配體通常與有機金屬金屬前驅物的金屬中心聯結而產生金屬-碳鍵結。不被理論所侷限,據信含有鉬-碳鍵結的有機金屬鉬前驅物顯露良好反應性,但若使用於鉬膜的直接沉積則通常提供具有顯著碳含量的鉬膜。In certain embodiments, the organometallic molybdenum precursor includes at least one molybdenum-carbon bond. For example, carbonyl ligands are usually linked to the metal center of the organometallic precursor to produce a metal-carbon bond. Without being limited by theory, it is believed that organometallic molybdenum precursors containing molybdenum-carbon bonds exhibit good reactivity, but if used for direct deposition of molybdenum films, they generally provide molybdenum films with significant carbon content.

在某些實施例中,有機金屬鉬前驅物包含或基本上由以下的一或多者所組成:tBuDADMo(CO)4 、環庚三烯三羰基鉬(Cycloheptatriene molybdenum tricarbonyl)、雙(三級丁基亞氨基)雙(二甲基氨基)鉬(bis(t-butylimido) bis(dimethylamino) Mo)、雙(乙苯)鉬(bis(ethylbenzene)molybdenum)、Mo14、CpMo(CO)2 (NO)、MeCpMo(CO)2 (NO)、(雙環[2.2.1]七-2,5-二烯)四羰鉬(0)((Bicyclo[2.2.1]hepta-2,5-diene)tetracarbonylmolybdenum(0))、或鉬(CO)6 。以此方式使用時,「基本上由指明的化合物所組成」的有機金屬鉬前驅物,當被量測為有機金屬鉬前驅物內的活性前驅物的莫耳百分比時,包含大於或等於約95%、98%、99%或99.5%之指明的化合物。In certain embodiments, the organometallic molybdenum precursor comprises or consists essentially of one or more of the following: tBuDADMo(CO) 4 , Cycloheptatriene molybdenum tricarbonyl, bis(tertiary butane) Bis(t-butylimido) bis(dimethylamino) Mo, bis(ethylbenzene)molybdenum, Mo14, CpMo(CO) 2 (NO) , MeCpMo(CO) 2 (NO), (Bicyclo[2.2.1]hepta-2,5-diene)tetracarbonyl molybdenum(0)((Bicyclo[2.2.1]hepta-2,5-diene)tetracarbonylmolybdenum( 0)), or molybdenum (CO) 6 . When used in this way, the organometallic molybdenum precursor "essentially composed of the specified compound", when measured as the molar percentage of the active precursor in the organometallic molybdenum precursor, contains greater than or equal to about 95 %, 98%, 99% or 99.5% of the specified compound.

在步驟114處,基板表面暴露於氧化劑。氧化劑可為與有機金屬鉬前驅物反應以形成氧化鉬膜的任何合適氧化劑。At step 114, the surface of the substrate is exposed to the oxidant. The oxidant may be any suitable oxidant that reacts with the organometallic molybdenum precursor to form a molybdenum oxide film.

在某些實施例中,氧化劑包含氧(O2 )、臭氧或水之一或多者。在某些實施例中,氧化劑包含氧、臭氧或水之電漿。在某些實施例中,氧化劑包含由氧(O2 )產生的電漿。In certain embodiments, the oxidant includes one or more of oxygen (O 2 ), ozone, or water. In some embodiments, the oxidant includes plasma of oxygen, ozone, or water. In certain embodiments, the oxidant includes plasma generated from oxygen (O 2 ).

基板表面在各種處理步驟期間可維持在預定溫度。在某些實施例中,在步驟110處之氧化鉬膜的形成期間,基板維持在約100°C至約500°C或約100°C至約400°C的範圍中的溫度。在某些實施例中,在步驟120處之氧化鉬膜的還原期間,基板維持在約250°C至約500°C、約250°C至約450°C或約300°C至約400°C的範圍中的溫度。The substrate surface can be maintained at a predetermined temperature during various processing steps. In some embodiments, during the formation of the molybdenum oxide film at step 110, the substrate is maintained at a temperature in the range of about 100°C to about 500°C or about 100°C to about 400°C. In some embodiments, during the reduction of the molybdenum oxide film at step 120, the substrate is maintained at about 250°C to about 500°C, about 250°C to about 450°C, or about 300°C to about 400° The temperature in the range of C.

在步驟110處,氧化鉬膜可形成至預定厚度。在某些實施例中,氧化鉬膜具有約0.2 nm至約100 nm、約0.2 nm至約10 nm、約0.2至約5 nm、或約0.5 nm至約10 nm的範圍中的厚度。At step 110, the molybdenum oxide film may be formed to a predetermined thickness. In some embodiments, the molybdenum oxide film has a thickness in the range of about 0.2 nm to about 100 nm, about 0.2 nm to about 10 nm, about 0.2 to about 5 nm, or about 0.5 nm to about 10 nm.

在某些實施例中,氧化鉬膜具有低碳含量。在某些實施例中,氧化鉬膜的碳含量在原子百分比基礎上小於或等於約10%、小於或等於約5%、小於或等於約2%或小於或等於約1%。In some embodiments, the molybdenum oxide film has a low carbon content. In some embodiments, the carbon content of the molybdenum oxide film is less than or equal to about 10%, less than or equal to about 5%, less than or equal to about 2%, or less than or equal to about 1% on an atomic percentage basis.

不被理論所侷限,雖然包含鉬-碳鍵結的有機金屬鉬前驅物產生碳雜質增多的鉬膜,但是發明人已經發現這些前驅物產生碳雜質相對低的氧化鉬膜。Without being limited by theory, although organometallic molybdenum precursors containing molybdenum-carbon bonds produce molybdenum films with increased carbon impurities, the inventors have found that these precursors produce molybdenum oxide films with relatively low carbon impurities.

再次參照第1圖,在步驟120處,還原氧化鉬膜以形成鉬膜。在某些實施例中,還原氧化鉬膜包含將氧化鉬膜暴露於包含醇類、氫氣或氫電漿的還原劑。Referring again to FIG. 1, at step 120, the molybdenum oxide film is reduced to form a molybdenum film. In some embodiments, reducing the molybdenum oxide film includes exposing the molybdenum oxide film to a reducing agent including alcohols, hydrogen, or hydrogen plasma.

在某些實施例中,醇類包含1-4個碳原子、5-8個碳原子或1-8個碳原子。在某些實施例中,醇類包含或基本上由以下的一或多者組成:甲醇、乙醇、丙醇、異丙醇、丁醇或三級丁醇。In certain embodiments, alcohols contain 1-4 carbon atoms, 5-8 carbon atoms, or 1-8 carbon atoms. In certain embodiments, the alcohol comprises or consists essentially of one or more of the following: methanol, ethanol, propanol, isopropanol, butanol, or tertiary butanol.

可藉由任何合適處理將醇類導入處理腔室。在某些實施例中,醇類可藉由蒸汽抽取(vapor dra)而導入。在某些實施例中,醇類可藉由直接液體分配而導入。The alcohol can be introduced into the processing chamber by any suitable processing. In some embodiments, alcohols can be introduced by vapor dra. In some embodiments, alcohols can be introduced by direct liquid dispensing.

不被理論所侷限,將氧化鉬膜暴露於高溫下之醇類據信可提供較溫和的還原處理,其中相較於諸如氫電漿之較嚴苛的還原反應物,可最小化對於環繞基板材料的損傷。Without being limited by theory, alcohols that expose the molybdenum oxide film to high temperatures are believed to provide a milder reduction treatment, which minimizes the impact on surrounding substrates compared to harsher reduction reactants such as hydrogen plasma. Material damage.

可控制在氧化鉬膜的還原期間的處理情況。在某些實施例中,處理腔室的壓力維持在約1托至約760托、或約5托至約350托、或約10托至約100托的範圍中。The processing conditions during the reduction of the molybdenum oxide film can be controlled. In certain embodiments, the pressure of the processing chamber is maintained in the range of about 1 Torr to about 760 Torr, or about 5 Torr to about 350 Torr, or about 10 Torr to about 100 Torr.

基板表面在各種處理步驟期間可維持在預定溫度。在某些實施例中,在步驟110處的氧化鉬膜的形成期間,基板維持在約100°C至約500°C或約100°C至約400°C的範圍中的溫度。在某些實施例中,在步驟120處的氧化鉬膜的還原期間,基板維持在約250°C至約500°C、約250°C至約450°C或約300°C至約400°C的範圍中的溫度。The substrate surface can be maintained at a predetermined temperature during various processing steps. In some embodiments, during the formation of the molybdenum oxide film at step 110, the substrate is maintained at a temperature in the range of about 100°C to about 500°C or about 100°C to about 400°C. In some embodiments, during the reduction of the molybdenum oxide film at step 120, the substrate is maintained at about 250°C to about 500°C, about 250°C to about 450°C, or about 300°C to about 400°C. The temperature in the range of C.

藉由本發明的某些實施例產生的鉬膜是高純度的。在某些實施例中,鉬膜包含低水平的鹵素、氮、碳及氧。在某些實施例中,鉬膜具有雜質含量為小於或等於約10%、小於或等於約5%、小於或等於約2%、或小於或等於約1%。在此使用時,「雜質含量」是在鉬膜內不同於鉬與氫的原子的總原子計數。The molybdenum film produced by certain embodiments of the present invention is of high purity. In some embodiments, the molybdenum film contains low levels of halogen, nitrogen, carbon, and oxygen. In some embodiments, the molybdenum film has an impurity content of less than or equal to about 10%, less than or equal to about 5%, less than or equal to about 2%, or less than or equal to about 1%. When used here, the "impurity content" is the total atom count of atoms different from molybdenum and hydrogen in the molybdenum film.

在某些實施例中,鉬膜包含小於或等於約5原子%碳、或小於或等於約2原子%碳、或小於或等於約1原子%碳。In some embodiments, the molybdenum film contains less than or equal to about 5 atomic% carbon, or less than or equal to about 2 atomic% carbon, or less than or equal to about 1 atomic% carbon.

在某些實施例中,鉬膜包含小於或等於約5原子%氧、或小於或等於約2原子%氧、或小於或等於約1原子%氧。In some embodiments, the molybdenum film contains less than or equal to about 5 atomic% oxygen, or less than or equal to about 2 atomic% oxygen, or less than or equal to about 1 atomic% oxygen.

在某些實施例中,金屬層包含大於或等於約75原子%鉬、或大於或等於約80原子%鉬、或大於或等於約85原子%鉬、或大於或等於約90原子%鉬、或大於或等於約95原子%鉬。In certain embodiments, the metal layer contains greater than or equal to about 75 atomic% molybdenum, or greater than or equal to about 80 atomic% molybdenum, or greater than or equal to about 85 atomic% molybdenum, or greater than or equal to about 90 atomic% molybdenum, or Greater than or equal to about 95 atomic% molybdenum.

在某些實施例中,鉬膜具有類似於藉由PVD處理沉積的塊體鉬的塊體電阻。藉由PVD處理沉積的鉬被理解為高純度且具有低電阻。以此方式使用時,「類似」的電阻為PVD材料電阻的+/- 5%、+/- 2%、或+/- 1%之內。In some embodiments, the molybdenum film has a bulk resistance similar to that of bulk molybdenum deposited by PVD processing. Molybdenum deposited by PVD processing is understood to be of high purity and low resistance. When used in this way, the "similar" resistance is within +/- 5%, +/- 2%, or +/- 1% of the resistance of the PVD material.

在某些實施例中,鉬膜具有電阻為小於或等於約2000 µohm-cm、或小於或等於約1800 µohm-cm、或小於或等於約1700 µohm-cm、或小於或等於約1600 µohm-cm、或小於或等於約1500 µohm-cm、或小於或等於約1000 µohm-cm、或小於或等於約800 µohm-cm、或小於或等於約500 µohm-cm、或小於或等於約200 µohm-cm、或小於或等於約100 µohm-cm、或小於或等於約50 µohm-cm。在某些實施例中,鉬膜具有電阻為小於或等於約20000 ohm2 、或小於或等於約10000 ohm2 、或小於或等於約5000 ohm2 、或小於或等於約2000 ohm2 、或小於或等於約1000 ohm2 、小於或等於約500 ohm2 、小於或等於約100 ohm2 、或小於或等於約50 ohm2In some embodiments, the molybdenum film has a resistance of less than or equal to about 2000 µohm-cm, or less than or equal to about 1800 µohm-cm, or less than or equal to about 1700 µohm-cm, or less than or equal to about 1600 µohm-cm. , Or less than or equal to about 1500 µohm-cm, or less than or equal to about 1000 µohm-cm, or less than or equal to about 800 µohm-cm, or less than or equal to about 500 µohm-cm, or less than or equal to about 200 µohm-cm , Or less than or equal to about 100 µohm-cm, or less than or equal to about 50 µohm-cm. In some embodiments, the molybdenum film has a resistance of less than or equal to about 20000 ohm 2 , or less than or equal to about 10000 ohm 2 , or less than or equal to about 5000 ohm 2 , or less than or equal to about 2000 ohm 2 , or less than or Equal to about 1000 ohm 2 , less than or equal to about 500 ohm 2 , less than or equal to about 100 ohm 2 , or less than or equal to about 50 ohm 2 .

儘管已在上方參照第1圖說明一般實施例,然而接下來的說明書說明在步驟110處的有機金屬鉬前驅物與氧化劑的同時暴露與依序暴露。儘管處理步驟可不同,然而反應物與許多處理參數是類似的。Although the general embodiment has been described above with reference to FIG. 1, the following description describes the simultaneous exposure and sequential exposure of the organometallic molybdenum precursor and the oxidant at step 110. Although the processing steps can be different, the reactants are similar to many processing parameters.

參照第2圖,本發明關於藉由同時或恆定流動處理之氧化鉬膜的沉積。在某些實施例中,同時或恆定流動方法已知為CVD方法。Referring to Figure 2, the present invention relates to the deposition of molybdenum oxide film by simultaneous or constant flow treatment. In certain embodiments, the simultaneous or constant flow method is known as the CVD method.

本文所述的CVD方法將基板表面同時暴露於有機金屬鉬前驅物與氧化劑。因此,在這些方法中有著有機金屬鉬前驅物與氧化劑的氣相反應的可能性。The CVD method described herein simultaneously exposes the surface of the substrate to the organometallic molybdenum precursor and the oxidant. Therefore, in these methods, there is the possibility of a gas phase reaction between the organometallic molybdenum precursor and the oxidant.

在某些實施例中,有機金屬鉬前驅物及/或氧化劑可恆定地流動。在某些實施例中,有機金屬鉬前驅物及/或氧化劑可為脈衝式的。In certain embodiments, the organometallic molybdenum precursor and/or oxidant may flow constantly. In certain embodiments, the organometallic molybdenum precursor and/or oxidant may be pulsed.

在某些實施例中,可為有機金屬鉬前驅物及/或氧化劑配備載氣或稀釋氣體。在此使用時,載氣或稀釋氣體可為非反應氣體。在某些實施例中,載氣包含氬。In some embodiments, the organometallic molybdenum precursor and/or oxidant may be equipped with carrier gas or diluent gas. When used here, the carrier gas or diluent gas can be a non-reactive gas. In certain embodiments, the carrier gas includes argon.

第2圖描繪根據本發明的一或多個實施例之藉由CVD處理形成氧化鉬膜的數個處理圖解210、220、230、240。圖解210提供有機金屬鉬前驅物的脈衝流及氧化劑的恆定流。圖解220提供有機金屬鉬前驅物的恆定流及氧化劑的脈衝流。圖解230提供有機金屬鉬前驅物的恆定流及氧化劑的恆定流。圖解240提供有機金屬鉬前驅物的脈衝流及氧化劑的脈衝流。如不同於之後所述的ALD處理,在圖解240內,有機金屬鉬前驅物及氧化劑的脈衝在處理圖解的部分期間重疊。有機金屬鉬前驅物及氧化劑的流率、壓力與暴露時間可為任何合適數值。Figure 2 depicts several process diagrams 210, 220, 230, 240 for forming a molybdenum oxide film by CVD process according to one or more embodiments of the present invention. Diagram 210 provides a pulsed flow of organometallic molybdenum precursor and a constant flow of oxidant. Diagram 220 provides a constant flow of organometallic molybdenum precursor and a pulsed flow of oxidant. Diagram 230 provides a constant flow of organometallic molybdenum precursor and a constant flow of oxidant. Diagram 240 provides a pulsed flow of organometallic molybdenum precursor and a pulsed flow of oxidant. Unlike the ALD process described later, in diagram 240, the pulses of the organometallic molybdenum precursor and oxidant overlap during part of the treatment diagram. The flow rate, pressure, and exposure time of the organometallic molybdenum precursor and oxidant can be any suitable value.

持續CVD方法直到已達到預定厚度。若未達到預定厚度,此方法按照處理圖解持續直到達到預定厚度。一旦已達到預定厚度,氧化鉬膜可如上述地被還原。The CVD method is continued until the predetermined thickness has been reached. If the predetermined thickness is not reached, the method continues according to the processing diagram until the predetermined thickness is reached. Once the predetermined thickness has been reached, the molybdenum oxide film can be reduced as described above.

在此使用的「原子層沉積」或「循環沉積」指稱兩種或多種反應化合物的依序暴露以在基板表面上沉積材料層。在本說明書與隨附申請專利範圍中使用時,用語「反應化合物」、「反應氣體」、「反應物種」、「前驅物」、「處理氣體」及類似物可互換地使用以意指具有能夠與基板表面或基板表面上的材料進行表面反應(例如,化學吸附、氧化、還原)的物種之物質。基板表面或其之部分分別地暴露於導入處理腔室的反應區之兩種或更多種的反應化合物。As used herein, "atomic layer deposition" or "cyclic deposition" refers to the sequential exposure of two or more reactive compounds to deposit a layer of material on the surface of the substrate. When used in the scope of this specification and the accompanying patent application, the terms "reactive compound", "reactive gas", "reactive species", "precursor", "processing gas" and the like are used interchangeably to mean Substances of species that undergo surface reactions (for example, chemical adsorption, oxidation, reduction) with the surface of the substrate or the materials on the surface of the substrate. The surface of the substrate or a part thereof is respectively exposed to two or more reaction compounds introduced into the reaction zone of the processing chamber.

在時域ALD處理中,藉由時間延遲分開暴露於各反應化合物,以容許各化合物黏附及/或反應於基板表面上,然後從處理腔室排淨。這些反應化合物被稱為依序地暴露於基板。在空間ALD處理中,基板表面的不同部分或基板表面上的材料同時地暴露於兩種或更多種反應化合物,使得在基板上的任意給定點實質上不同時地暴露於多於一種反應化合物。在本說明書與隨附的申請專利範圍中使用時,如本領域的通常知識者將理解到的,以此方式使用的用語「實質上」意指有著基板的小部分由於擴散而可同時地暴露於多個反應氣體的可能性,而此同時暴露不是有意的。In the time-domain ALD process, each reactive compound is separately exposed to the substrate with a time delay to allow each compound to adhere and/or react on the surface of the substrate, and then is drained from the processing chamber. These reactive compounds are said to be sequentially exposed to the substrate. In spatial ALD processing, different parts of the substrate surface or materials on the substrate surface are simultaneously exposed to two or more reactive compounds, so that any given point on the substrate is substantially not simultaneously exposed to more than one reactive compound . When used in the scope of this specification and the accompanying patent application, as those skilled in the art will understand, the term "substantially" used in this way means that a small part of the substrate can be exposed at the same time due to diffusion Because of the possibility of multiple reactive gases, simultaneous exposure is not intentional.

在時域ALD處理的一態樣中,第一反應氣體(即,第一前驅物或化合物A)脈衝進入反應區,之後接著第一時間延遲。接著,第二前驅物或化合物B脈衝進入反應區,之後接著第二延遲。在各時間延遲期間,諸如氬的淨化氣體導入處理腔室以淨化反應區或者從反應區移除任何殘留反應化合物或反應副產物。In one aspect of the time domain ALD process, the first reaction gas (ie, the first precursor or compound A) is pulsed into the reaction zone, followed by a first time delay. Next, the second precursor or compound B is pulsed into the reaction zone, followed by a second delay. During each time delay, a purge gas such as argon is introduced into the processing chamber to purge the reaction zone or remove any residual reaction compounds or reaction by-products from the reaction zone.

參照第3圖,在某些實施例中,如圖解310所示,淨化氣體在整個沉積處理期間可持續地流動,使得在反應化合物的脈衝之間的時間延遲期間只有淨化氣體流動。反應化合物交替地脈衝直到在基板表面上形成期望的膜或膜厚度。在某些實施例中,如圖解320所示,淨化氣體可僅在反應化合物的脈衝之間流動。在任一種方案中,脈衝化合物A、淨化氣體、化合物B與淨化氣體的ALD處理是一循環。一循環可以化合物A或化合物B開始及持續此循環的個別次序直到達成具有預定厚度的膜。Referring to Figure 3, in some embodiments, as shown in illustration 310, the purge gas flows continuously throughout the deposition process, so that only the purge gas flows during the time delay between pulses of the reactive compound. The reactive compound is pulsed alternately until a desired film or film thickness is formed on the surface of the substrate. In some embodiments, as shown in solution 320, the purge gas may only flow between pulses of reactive compounds. In either scheme, the ALD treatment of pulse compound A, purge gas, compound B and purge gas is a cycle. A cycle can start with compound A or compound B and continue the individual sequence of the cycle until a film with a predetermined thickness is reached.

在空間ALD處理的實施例中,第一反應氣體與第二反應氣體(例如,金屬前驅物氣體)同時地傳送至反應區,但藉由惰性氣簾及/或真空簾而分開。基板相對於氣體傳送設備移動,使得基板表面上的任意給定點暴露於第一反應氣體、氣簾與第二反應氣體。In an embodiment of the spatial ALD process, the first reaction gas and the second reaction gas (eg, metal precursor gas) are simultaneously delivered to the reaction zone, but separated by an inert gas curtain and/or a vacuum curtain. The substrate moves relative to the gas delivery device so that any given point on the surface of the substrate is exposed to the first reaction gas, the gas curtain, and the second reaction gas.

持續ALD方法的循環直到已達成預定厚度。若尚未達成預定厚度,持續此方法以重覆沉積循環直到達到預定厚度。一旦已達到預定厚度,可如上述還原氧化鉬膜。The cycle of the ALD method is continued until the predetermined thickness has been reached. If the predetermined thickness has not been reached, the method is continued to repeat the deposition cycle until the predetermined thickness is reached. Once the predetermined thickness has been reached, the molybdenum oxide film can be reduced as described above.

關於第2與3圖的處理圖解,在此使用的「脈衝」或「劑量」意於指稱間歇地或非連續地導入處理腔室之來源氣體的數量。各脈衝中的特定化合物的數量可取決於脈衝的期間而隨著時間變動。特定處理氣體可包括單一化合物或兩種或多種化合物的混合物/組合,例如,之後說明的處理氣體。Regarding the processing diagrams in Figures 2 and 3, the "pulse" or "dose" used here means the amount of source gas introduced into the processing chamber intermittently or discontinuously. The amount of a specific compound in each pulse can vary with time depending on the duration of the pulse. The specific processing gas may include a single compound or a mixture/combination of two or more compounds, for example, the processing gas described later.

各脈衝/劑量的期間可變動且可調整以適應例如處理腔室的體積容量及耦接至處理腔室的真空系統的能力。此外,處理氣體的劑量時間可根據處理氣體的流率、處理氣體的溫度、控制閥的類型、所利用的處理腔室的類型及處理氣體的成分吸附於基板表面的能力而變動。劑量時間也可基於所形成的層的類型與所形成的裝置的幾何形狀而變動。劑量時間應長到足以提供足夠吸附/化學吸附於實質上基板的整個表面上且在表面上形成處理氣體成分的層之化合物體積。The duration of each pulse/dose can be varied and adjusted to suit, for example, the volumetric capacity of the processing chamber and the ability of the vacuum system coupled to the processing chamber. In addition, the dosage time of the processing gas may vary according to the flow rate of the processing gas, the temperature of the processing gas, the type of control valve, the type of processing chamber used, and the ability of the components of the processing gas to be adsorbed on the surface of the substrate. The dose time can also vary based on the type of layer formed and the geometry of the device formed. The dosage time should be long enough to provide a compound volume sufficient to adsorb/chemically adsorb on substantially the entire surface of the substrate and form a layer of processing gas components on the surface.

儘管上方的說明內容具體地關於鉬膜的形成,但注意到也可利用類似處理用於鎢膜的形成。在這些實施例中,有機金屬鉬前驅物被鎢前驅物所替代。所形成的膜是氧化鎢膜,且還原氧化鎢膜以形成高純度鎢膜。合適的鎢前驅物包括但不限於環戊二烯基三羰基氫化鎢(II) (cyclopentadienyl tungsten(II) tricarbonyl hydride)、雙(三級丁基亞氨基)雙(二甲基氨基)鎢(VI)(bis(t-butylimido) bis(dimethylamino) tungsten(VI))、均三甲苯三羰基鎢(mesitylene tungsten tricarbonyl)、六羰鎢、四羰基(1,5-環辛二烯)鎢(tetracarbonyl(1,5-cyclooctadiene) tungsten)、雙(異丙基環戊二烯基)二氫化鎢(IV) (bis(isopropylcyclopentadienyl) tungsten(IV) dihydride)、及雙(環戊二烯基)二氫化鎢(IV)(bis(cyclopentadienyl) tungsten(IV) dihydride)。Although the above description specifically concerns the formation of the molybdenum film, it is noted that similar processing can also be used for the formation of the tungsten film. In these embodiments, the organometallic molybdenum precursor is replaced by a tungsten precursor. The formed film is a tungsten oxide film, and the tungsten oxide film is reduced to form a high-purity tungsten film. Suitable tungsten precursors include, but are not limited to, cyclopentadienyl tungsten(II) tricarbonyl hydride, bis(tertiary butylimino)bis(dimethylamino)tungsten (VI) )(bis(t-butylimido) bis(dimethylamino) tungsten(VI)), mesitylene tungsten tricarbonyl, tungsten hexacarbonyl, tetracarbonyl(1,5-cyclooctadiene) tungsten (tetracarbonyl( 1,5-cyclooctadiene) tungsten), bis(isopropylcyclopentadienyl) tungsten(IV) dihydride), bis(isopropylcyclopentadienyl) tungsten(IV) dihydride), and bis(cyclopentadienyl) tungsten(IV) dihydride (IV) (bis(cyclopentadienyl) tungsten(IV) dihydride).

在貫穿本說明書中的參照「一實施例(one embodiment)」、「某些實施例」、「一或多個實施例」、或「一實施例(an embodiment)」意指連結此實施例說明的特定特徵、結構、材料或特性被包括在本發明的至少一實施例中。因此,在貫穿本說明書中的各種地方出現的諸如「在一或多個實施例中」、「在某些實施例中」、「在一實施例中(in one embodiment)」、或「在一實施例中(in an embodiment)」的片語不必然指稱本發明的相同實施例。再者,在一或多個實施例中,特定特徵、結構、材料、或特性以任何合適方式組合。Reference throughout this specification to "one embodiment", "certain embodiments", "one or more embodiments", or "an embodiment" means to link this embodiment description Specific features, structures, materials or characteristics of are included in at least one embodiment of the present invention. Therefore, in various places throughout this specification, such as "in one or more embodiments", "in some embodiments", "in one embodiment", or "in one embodiment" The phrase "in an embodiment" does not necessarily refer to the same embodiment of the invention. Furthermore, in one or more embodiments, specific features, structures, materials, or characteristics are combined in any suitable manner.

雖然本發明在此已參照特定實施例而說明,但是本領域的通常知識者將理解到所述實施例僅為本發明的原理與應用的示例。在不背離本發明的精神與範疇,對於本領域的通常知識者而言,可對本發明的方法與設備進行各種修改與變化會是顯而易見的。因此,意欲本發明可包括在隨附申請專利範圍與其等效物的範疇內的修改與變化。Although the present invention has been described herein with reference to specific embodiments, those skilled in the art will understand that the embodiments are only examples of the principles and applications of the present invention. Without departing from the spirit and scope of the present invention, it will be obvious to a person skilled in the art that various modifications and changes can be made to the method and equipment of the present invention. Therefore, it is intended that the present invention may include modifications and changes within the scope of the attached patent application and its equivalents.

100:處理序列 110,112,114,120:步驟 210,220,230,240,310,320:圖解100: Processing sequence 110, 112, 114, 120: steps 210,220,230,240,310,320: diagram

藉由參照實施例,某些實施例繪示在隨附圖式中,可獲得簡短總結於上的本發明之更具體的說明,使得本發明的上述特徵可被詳細理解。然而,將注意到隨附圖式僅繪示本發明的典型實施例,且因而不被當作限制本發明的範疇,由於本發明可承認其他等效實施例。By referring to the embodiments, some of the embodiments are shown in the accompanying drawings, and a more specific description of the present invention briefly summarized above can be obtained, so that the above-mentioned features of the present invention can be understood in detail. However, it will be noted that the accompanying drawings only illustrate typical embodiments of the present invention, and thus are not considered as limiting the scope of the present invention, as the present invention may recognize other equivalent embodiments.

第1圖繪示根據本發明的一或多個實施例之用於鉬膜的形成之示例處理序列;Figure 1 shows an example processing sequence for the formation of a molybdenum film according to one or more embodiments of the present invention;

第2圖繪示根據本發明的一或多個實施例之用於氧化鉬膜的形成之示例CVD處理圖解;及Figure 2 shows an exemplary CVD process diagram for the formation of a molybdenum oxide film according to one or more embodiments of the present invention; and

第3圖繪示根據本發明的一或多個實施例之用於氧化鉬膜的形成之示例ALD處理圖解。Figure 3 shows an exemplary ALD process diagram for the formation of a molybdenum oxide film according to one or more embodiments of the present invention.

國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無Domestic deposit information (please note in the order of deposit institution, date and number) no Foreign hosting information (please note in the order of hosting country, institution, date, and number) no

100:處理序列 100: Processing sequence

110,112,114,120:步驟 110, 112, 114, 120: steps

Claims (20)

一種形成一鉬膜的方法,該方法包含: 將一基板表面暴露於一有機金屬鉬前驅物與一氧化劑以形成一氧化鉬膜;及 還原該氧化鉬膜以形成一鉬膜。A method of forming a molybdenum film, the method comprising: Exposing the surface of a substrate to an organometallic molybdenum precursor and an oxidizing agent to form a molybdenum oxide film; and The molybdenum oxide film is reduced to form a molybdenum film. 如請求項1所述之方法,其中該有機金屬鉬前驅物實質上不包含鹵素原子。The method according to claim 1, wherein the organometallic molybdenum precursor does not substantially contain halogen atoms. 如請求項1所述之方法,其中該有機金屬鉬前驅物包含至少一鉬-碳鍵結。The method of claim 1, wherein the organometallic molybdenum precursor comprises at least one molybdenum-carbon bond. 如請求項1所述之方法,其中該有機金屬鉬前驅物包含以下一或多者:tBuDADMo(CO)4 、環庚三烯三羰基鉬(Cycloheptatriene molybdenum tricarbonyl)、雙(三級丁基亞氨基)雙(二甲基氨基)鉬(bis(t-butylimido) bis(dimethylamino) Mo)、雙(乙苯)鉬(bis(ethylbenzene)molybdenum)、Mo14、CpMo(CO)2 (NO)、MeCpMo(CO)2 (NO)、(雙環[2.2.1]七-2,5-二烯)四羰鉬(0)((Bicyclo[2.2.1]hepta-2,5-diene)tetracarbonylmolybdenum(0))、或鉬(CO)6The method according to claim 1, wherein the organometallic molybdenum precursor comprises one or more of the following: tBuDADMo(CO) 4 , Cycloheptatriene molybdenum tricarbonyl, bis(tertiary butyl imino) ) Bis(t-butylimido) bis(dimethylamino) Mo, bis(ethylbenzene)molybdenum, Mo14, CpMo(CO) 2 (NO), MeCpMo( CO) 2 (NO), (Bicyclo[2.2.1]hepta-2,5-diene)tetracarbonylmolybdenum(0)((Bicyclo[2.2.1]hepta-2,5-diene)tetracarbonylmolybdenum(0)) , Or molybdenum (CO) 6 . 如請求項1所述之方法,其中該氧化劑包含以下一或多者:氧、臭氧、水、或前述物的一或多者的一電漿。The method according to claim 1, wherein the oxidant comprises one or more of the following: oxygen, ozone, water, or a plasma of one or more of the foregoing. 如請求項1所述之方法,其中該基板表面在該氧化鉬膜的形成期間維持在約100°C至約500°C的一範圍中的一溫度。The method according to claim 1, wherein the surface of the substrate is maintained at a temperature in a range of about 100°C to about 500°C during the formation of the molybdenum oxide film. 如請求項1所述之方法,其中該基板表面同時地暴露於該有機金屬鉬前驅物與該氧化劑。The method according to claim 1, wherein the surface of the substrate is simultaneously exposed to the organometallic molybdenum precursor and the oxidant. 如請求項1所述之方法,其中該表面依序地暴露於該有機金屬鉬前驅物與該氧化劑。The method of claim 1, wherein the surface is sequentially exposed to the organometallic molybdenum precursor and the oxidizing agent. 如請求項8所述之方法,其中將該基板暴露於該有機金屬鉬前驅物與該氧化劑界定一ALD循環,及在該氧化鉬膜還原之前,執行多個ALD循環。The method of claim 8, wherein exposing the substrate to the organometallic molybdenum precursor and the oxidizing agent defines an ALD cycle, and before the molybdenum oxide film is reduced, multiple ALD cycles are performed. 如請求項1所述之方法,其中該氧化鉬膜具有約0.2 nm至約100 nm的一範圍中的一厚度。The method according to claim 1, wherein the molybdenum oxide film has a thickness in a range of about 0.2 nm to about 100 nm. 如請求項1所述之方法,其中該氧化鉬膜具有小於或等於約5原子百分比的一碳含量。The method according to claim 1, wherein the molybdenum oxide film has a carbon content of less than or equal to about 5 atomic percent. 如請求項1所述之方法,其中該基板表面在該鉬膜的形成期間維持在約250°C至約500°C的一範圍中的一溫度。The method according to claim 1, wherein the surface of the substrate is maintained at a temperature in a range of about 250°C to about 500°C during the formation of the molybdenum film. 如請求項1所述之方法,其中還原該氧化鉬膜包含將該氧化鉬膜暴露於包含一醇類或氫氣的一還原劑。The method of claim 1, wherein reducing the molybdenum oxide film comprises exposing the molybdenum oxide film to a reducing agent containing an alcohol or hydrogen. 如請求項13所述之方法,其中該醇類包含甲醇、乙醇、丙醇、異丙醇或三級丁醇的一或多者。The method according to claim 13, wherein the alcohol comprises one or more of methanol, ethanol, propanol, isopropanol, or tertiary butanol. 如請求項13所述之方法,其中該還原劑包含由氫氣形成的一電漿。The method according to claim 13, wherein the reducing agent comprises a plasma formed from hydrogen. 如請求項1所述之方法,其中該鉬膜具有小於或等於約5原子百分比的一雜質含量。The method according to claim 1, wherein the molybdenum film has an impurity content less than or equal to about 5 atomic percent. 如請求項1所述之方法,其中該鉬膜具有類似於藉由物理氣相沉積所沉積的一鉬膜的一塊體電阻。The method of claim 1, wherein the molybdenum film has a bulk resistance similar to that of a molybdenum film deposited by physical vapor deposition. 一種形成一鉬膜的方法,該方法包含: 將維持在約100°C至約500°C的一範圍中的一溫度的一基板表面暴露於複數個ALD循環,以形成具有0.2 nm至約100 nm的一範圍中的一厚度的一氧化鉬膜,每個循環包含暴露於一有機金屬鉬前驅物與一氧化劑,該有機金屬鉬前驅物實質上不包含鹵素原子;及 將維持在約250°C至約500°C的一範圍中的一溫度的該氧化鉬膜暴露於一醇類以形成一鉬膜。A method of forming a molybdenum film, the method comprising: A substrate surface maintained at a temperature in a range of about 100°C to about 500°C is exposed to a plurality of ALD cycles to form molybdenum monoxide having a thickness in a range of 0.2 nm to about 100 nm The film, each cycle includes exposure to an organometallic molybdenum precursor and an oxidizing agent, the organometallic molybdenum precursor does not substantially contain halogen atoms; and The molybdenum oxide film maintained at a temperature in a range of about 250°C to about 500°C is exposed to an alcohol to form a molybdenum film. 如請求項18所述之方法,其中該鉬膜具有小於或等於約5原子百分比的一雜質含量。The method of claim 18, wherein the molybdenum film has an impurity content less than or equal to about 5 atomic percent. 一種形成一鉬膜的方法,該方法包含: 將一基板表面暴露於複數個ALD循環,以形成具有0.2 nm至約100 nm的一範圍中的一厚度的一氧化鉬膜,每個循環包含暴露於一有機金屬鉬前驅物與一氧化劑,該氧化鉬膜具有小於或等於約5原子百分比的一碳含量;及 將該氧化鉬膜暴露於一還原劑以形成一鉬膜,該鉬膜具有小於或等於約5原子百分比的一雜質含量。A method of forming a molybdenum film, the method comprising: A substrate surface is exposed to a plurality of ALD cycles to form a molybdenum monoxide film having a thickness in a range of 0.2 nm to about 100 nm. Each cycle includes exposure to an organometallic molybdenum precursor and an oxidizing agent. The molybdenum oxide film has a carbon content of less than or equal to about 5 atomic percent; and The molybdenum oxide film is exposed to a reducing agent to form a molybdenum film having an impurity content less than or equal to about 5 atomic percent.
TW109127195A 2019-08-12 2020-08-11 Molybdenum thin films by oxidation-reduction TW202117050A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962885814P 2019-08-12 2019-08-12
US62/885,814 2019-08-12

Publications (1)

Publication Number Publication Date
TW202117050A true TW202117050A (en) 2021-05-01

Family

ID=74567163

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109127195A TW202117050A (en) 2019-08-12 2020-08-11 Molybdenum thin films by oxidation-reduction

Country Status (3)

Country Link
US (1) US11891690B2 (en)
TW (1) TW202117050A (en)
WO (1) WO2021030327A1 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020106649A1 (en) 2018-11-19 2020-05-28 Lam Research Corporation Molybdenum templates for tungsten
KR20220139417A (en) 2019-01-28 2022-10-14 램 리써치 코포레이션 Deposition of metal films
KR20210127262A (en) 2019-03-11 2021-10-21 램 리써치 코포레이션 Precursors for Deposition of Molybdenum-Containing Films
KR20230002069A (en) 2021-06-29 2023-01-05 에이에스엠 아이피 홀딩 비.브이. Transition metal nitride deposition method
KR20240114775A (en) * 2021-12-15 2024-07-24 램 리써치 코포레이션 Low Temperature Molybdenum Deposition Assisted by Silicon-Containing Reactive Materials
TW202334479A (en) * 2021-12-24 2023-09-01 南韓商Up化學股份有限公司 Molybdenum precursor compound, method for preparing the same, and method for forming a molybdenum-containing film using the same
US20230207314A1 (en) * 2021-12-27 2023-06-29 Applied Materials, Inc. Conformal metal dichalcogenides
WO2023171489A1 (en) * 2022-03-07 2023-09-14 株式会社Adeka Starting material for thin film formation by atomic layer deposition, thin film, and method for producing thin film
WO2024030729A1 (en) 2022-08-05 2024-02-08 Versum Materials Us, Llc Liquid molybdenum bis(arene) compositions for deposition of molybdenum-containing films
US20240109927A1 (en) * 2022-09-08 2024-04-04 Entegris, Inc. Bis (arene) metal complexes and related methods

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3253946A (en) * 1960-08-11 1966-05-31 Ethyl Corp Vapor plating with manganese, chromium, molybdenum or tungsten employing cyclopentadienyl metal carbonyl
US4431708A (en) * 1979-12-19 1984-02-14 The United States Of America As Represented By The United States Department Of Energy Annealed CVD molybdenum thin film surface
US7220671B2 (en) * 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
WO2010114386A1 (en) * 2009-03-30 2010-10-07 Universitetet I Oslo Thin films containing molybdenum oxide
US9460959B1 (en) 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
US10995405B2 (en) * 2016-02-19 2021-05-04 Merck Patent Gmbh Deposition of molybdenum thin films using a molybdenum carbonyl precursor
KR102266610B1 (en) * 2016-07-14 2021-06-21 엔테그리스, 아이엔씨. CVD Mo Deposition by Use of MoOCl4
CN110731003B (en) 2017-04-10 2024-03-26 朗姆研究公司 Low resistivity film containing molybdenum
KR20190009245A (en) * 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11295980B2 (en) * 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures

Also Published As

Publication number Publication date
US11891690B2 (en) 2024-02-06
US20210047726A1 (en) 2021-02-18
WO2021030327A1 (en) 2021-02-18

Similar Documents

Publication Publication Date Title
TW202117050A (en) Molybdenum thin films by oxidation-reduction
JP7413258B2 (en) Method for ALD of metal oxides on metal surfaces
TWI521084B (en) Method for tuning a deposition rate during an atomic layer deposition process
KR101506019B1 (en) Vapor deposition of metal carbide films
KR102197048B1 (en) Dual selective deposition
TWI488990B (en) Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
TWI361226B (en) Pretreatment processes within a batch ald reactor
TW201113390A (en) High concentration water pulses for atomic layer deposition
US20130078454A1 (en) Metal-Aluminum Alloy Films From Metal Amidinate Precursors And Aluminum Precursors
KR20080101745A (en) Atomic layer deposition of tungsten materials
WO2013070702A1 (en) Deposition of metal films using alane-based precursors
TWI809262B (en) Process for pulsed thin film deposition
Kwon et al. Atomic layer deposition of Ru thin films using (2, 4-dimethyloxopentadienyl)(ethylcyclopentadienyl) Ru and the effect of ammonia treatment during the deposition
TWI577824B (en) Methods for the deposition of manganese-containing films using diazabutadiene-based precursors
TW201814080A (en) Deposition of films comprising aluminum alloys with high aluminum content
KR102555781B1 (en) Tin-Containing Precursors and Methods of Depositing Tin-Containing Films
US9487860B2 (en) Method for forming cobalt containing films
TW202117052A (en) Peald nitride films
TWI515803B (en) Doping aluminum in tantalum silicide
US11293093B2 (en) Water assisted highly pure ruthenium thin film deposition
JP7425744B2 (en) Low-temperature molybdenum film deposition using boron nucleation layer
TW202419661A (en) High purity alkynyl amines for selective deposition
WO2023150066A1 (en) Process for selectively depositing highly-conductive metal films
WO2013043507A1 (en) Metal-aluminum alloy films from metal pcai precursors and aluminum precursors