SG11202108217UA - Deposition of metal films - Google Patents
Deposition of metal filmsInfo
- Publication number
- SG11202108217UA SG11202108217UA SG11202108217UA SG11202108217UA SG11202108217UA SG 11202108217U A SG11202108217U A SG 11202108217UA SG 11202108217U A SG11202108217U A SG 11202108217UA SG 11202108217U A SG11202108217U A SG 11202108217UA SG 11202108217U A SG11202108217U A SG 11202108217UA
- Authority
- SG
- Singapore
- Prior art keywords
- deposition
- metal films
- films
- metal
- Prior art date
Links
- 230000008021 deposition Effects 0.000 title 1
- 239000002184 metal Substances 0.000 title 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
- C23C16/14—Deposition of only one other metal element
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/308—Oxynitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45529—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45534—Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/54—Apparatus specially adapted for continuous coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28568—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/32051—Deposition of metallic or metal-silicide layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B12/00—Dynamic random access memory [DRAM] devices
- H10B12/30—DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
- H10B12/48—Data lines or contacts therefor
- H10B12/488—Word lines
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Inorganic Chemistry (AREA)
- Crystallography & Structural Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962797860P | 2019-01-28 | 2019-01-28 | |
PCT/US2020/015241 WO2020159882A1 (en) | 2019-01-28 | 2020-01-27 | Deposition of metal films |
Publications (1)
Publication Number | Publication Date |
---|---|
SG11202108217UA true SG11202108217UA (en) | 2021-08-30 |
Family
ID=71840093
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
SG11202108217UA SG11202108217UA (en) | 2019-01-28 | 2020-01-27 | Deposition of metal films |
Country Status (7)
Country | Link |
---|---|
US (3) | US11970776B2 (en) |
JP (2) | JP2022523689A (en) |
KR (2) | KR20220139417A (en) |
CN (2) | CN113366144B (en) |
SG (1) | SG11202108217UA (en) |
TW (1) | TW202039911A (en) |
WO (1) | WO2020159882A1 (en) |
Families Citing this family (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2019213604A1 (en) | 2018-05-03 | 2019-11-07 | Lam Research Corporation | Method of depositing tungsten and other metals in 3d nand structures |
WO2020106649A1 (en) | 2018-11-19 | 2020-05-28 | Lam Research Corporation | Molybdenum templates for tungsten |
WO2020159882A1 (en) | 2019-01-28 | 2020-08-06 | Lam Research Corporation | Deposition of metal films |
WO2020185618A1 (en) | 2019-03-11 | 2020-09-17 | Lam Research Corporation | Precursors for deposition of molybdenum-containing films |
CN115261821A (en) * | 2022-08-08 | 2022-11-01 | 中国科学院上海高等研究院 | Method for hydrogenating ALD film |
Family Cites Families (250)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US1012671A (en) | 1911-05-16 | 1911-12-26 | Tailors Accessories Co | Cleaning-machine. |
JPS61224313A (en) | 1985-03-29 | 1986-10-06 | Hitachi Ltd | Vapor-phase thin film growth method |
JPH02231714A (en) | 1989-03-03 | 1990-09-13 | Toshiba Corp | Manufacture of semiconductor device |
JP2829143B2 (en) | 1991-03-25 | 1998-11-25 | シャープ株式会社 | Method for manufacturing semiconductor device |
JP2536377B2 (en) | 1992-11-27 | 1996-09-18 | 日本電気株式会社 | Semiconductor device and manufacturing method thereof |
US5643394A (en) | 1994-09-16 | 1997-07-01 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
TW314654B (en) | 1996-09-07 | 1997-09-01 | United Microelectronics Corp | Manufacturing method of conductive plug |
CN1115723C (en) | 1996-11-15 | 2003-07-23 | 三星电子株式会社 | Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method using the same |
US6162715A (en) | 1997-06-30 | 2000-12-19 | Applied Materials, Inc. | Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride |
US6114242A (en) | 1997-12-05 | 2000-09-05 | Taiwan Semiconductor Manufacturing Company | MOCVD molybdenum nitride diffusion barrier for Cu metallization |
US6103609A (en) * | 1997-12-11 | 2000-08-15 | Lg Semicon Co., Ltd. | Method for fabricating semiconductor device |
KR100477840B1 (en) | 1997-12-27 | 2005-06-29 | 주식회사 하이닉스반도체 | Barrier Metal Film Formation Method of Semiconductor Device |
KR100272523B1 (en) | 1998-01-26 | 2000-12-01 | 김영환 | Method for forming metallization of semiconductor device |
US6958174B1 (en) | 1999-03-15 | 2005-10-25 | Regents Of The University Of Colorado | Solid material comprising a thin metal film on its surface and methods for producing the same |
FR2795745B1 (en) | 1999-06-30 | 2001-08-03 | Saint Gobain Vitrage | PROCESS FOR DEPOSITING A TUNGSTENE AND / OR MOLYBDENE LAYER ON A GLASS, CERAMIC OR VITROCERAMIC SUBSTRATE, AND SUBSTRATE THUS COATED |
KR100319494B1 (en) | 1999-07-15 | 2002-01-09 | 김용일 | Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process |
US6358788B1 (en) | 1999-08-30 | 2002-03-19 | Micron Technology, Inc. | Method of fabricating a wordline in a memory array of a semiconductor device |
FI20000099A0 (en) | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | A method for growing thin metal films |
KR100767762B1 (en) | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | A CVD semiconductor-processing device provided with a remote plasma source for self cleaning |
JP2001284360A (en) | 2000-03-31 | 2001-10-12 | Hitachi Ltd | Semiconductor device |
JP2001298028A (en) | 2000-04-17 | 2001-10-26 | Tokyo Electron Ltd | Manufacturing method of semiconductor device |
US7964505B2 (en) * | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6585823B1 (en) | 2000-07-07 | 2003-07-01 | Asm International, N.V. | Atomic layer deposition |
US20030190424A1 (en) | 2000-10-20 | 2003-10-09 | Ofer Sneh | Process for tungsten silicide atomic layer deposition |
US6271084B1 (en) | 2001-01-16 | 2001-08-07 | Taiwan Semiconductor Manufacturing Company | Method of fabricating a metal-insulator-metal (MIM), capacitor structure using a damascene process |
US20030019428A1 (en) | 2001-04-28 | 2003-01-30 | Applied Materials, Inc. | Chemical vapor deposition chamber |
US7005372B2 (en) * | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
US7262125B2 (en) | 2001-05-22 | 2007-08-28 | Novellus Systems, Inc. | Method of forming low-resistivity tungsten interconnects |
US7141494B2 (en) | 2001-05-22 | 2006-11-28 | Novellus Systems, Inc. | Method for reducing tungsten film roughness and improving step coverage |
US7589017B2 (en) | 2001-05-22 | 2009-09-15 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten film |
US7955972B2 (en) | 2001-05-22 | 2011-06-07 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten for high aspect ratio and small features |
US6635965B1 (en) | 2001-05-22 | 2003-10-21 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US9076843B2 (en) | 2001-05-22 | 2015-07-07 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US20070009658A1 (en) | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
TW581822B (en) | 2001-07-16 | 2004-04-01 | Applied Materials Inc | Formation of composite tungsten films |
WO2003025243A2 (en) | 2001-09-14 | 2003-03-27 | Asm International N.V. | Metal nitride deposition by ald using gettering reactant |
TW589684B (en) | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
KR20030043201A (en) | 2001-11-27 | 2003-06-02 | 주식회사 하이닉스반도체 | Method for forming contact plug of semiconductor device |
US20030194825A1 (en) | 2002-04-10 | 2003-10-16 | Kam Law | Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
KR20050028015A (en) | 2002-07-12 | 2005-03-21 | 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 | Vapor deposition of tungsten nitride |
US6844258B1 (en) | 2003-05-09 | 2005-01-18 | Novellus Systems, Inc. | Selective refractory metal and nitride capping |
JP2005026380A (en) | 2003-06-30 | 2005-01-27 | Toshiba Corp | Semiconductor device including nonvolatile memory and its manufacturing method |
US7282738B2 (en) * | 2003-07-18 | 2007-10-16 | Corning Incorporated | Fabrication of crystalline materials over substrates |
US7754604B2 (en) * | 2003-08-26 | 2010-07-13 | Novellus Systems, Inc. | Reducing silicon attack and improving resistivity of tungsten nitride film |
US9029189B2 (en) | 2003-11-14 | 2015-05-12 | President And Fellows Of Harvard College | Bicyclic guanidines, metal complexes thereof and their use in vapor deposition |
JP2005150416A (en) | 2003-11-17 | 2005-06-09 | Hitachi Ltd | Semiconductor integrated circuit device and its manufacturing method |
KR20050054122A (en) | 2003-12-04 | 2005-06-10 | 성명모 | Method of fabricating thin film using uv-enhanced atomic layer deposition |
US7115304B2 (en) | 2004-02-19 | 2006-10-03 | Nanosolar, Inc. | High throughput surface treatment on coiled flexible substrates |
DE102004010954A1 (en) | 2004-03-03 | 2005-10-06 | Novaled Gmbh | Use of a metal complex as an n-dopant for an organic semiconductive matrix material, organic semiconductor material and electronic component |
US7405143B2 (en) | 2004-03-25 | 2008-07-29 | Asm International N.V. | Method for fabricating a seed layer |
JP4294530B2 (en) | 2004-03-31 | 2009-07-15 | 富士重工業株式会社 | Engine air-fuel ratio control device |
US6987063B2 (en) | 2004-06-10 | 2006-01-17 | Freescale Semiconductor, Inc. | Method to reduce impurity elements during semiconductor film deposition |
US20050282384A1 (en) | 2004-06-17 | 2005-12-22 | Hidemi Nawafune | Method for forming protective film and electroless plating bath |
US20090304914A1 (en) | 2006-08-30 | 2009-12-10 | Lam Research Corporation | Self assembled monolayer for improving adhesion between copper and barrier layer |
KR100615093B1 (en) | 2004-08-24 | 2006-08-22 | 삼성전자주식회사 | Method of manufacturing a non-volatile memory device with nanocrystal storage |
US7250367B2 (en) | 2004-09-01 | 2007-07-31 | Micron Technology, Inc. | Deposition methods using heteroleptic precursors |
US20060068098A1 (en) | 2004-09-27 | 2006-03-30 | Tokyo Electron Limited | Deposition of ruthenium metal layers in a thermal chemical vapor deposition process |
US20060115590A1 (en) | 2004-11-29 | 2006-06-01 | Tokyo Electron Limited; International Business Machines Corporation | Method and system for performing in-situ cleaning of a deposition system |
TW200734482A (en) | 2005-03-18 | 2007-09-16 | Applied Materials Inc | Electroless deposition process on a contact containing silicon or silicide |
CN101184690B (en) * | 2005-04-07 | 2013-03-20 | 耶达研究与开发有限公司 | Process and apparatus for producing inorganic fullerene-like nanoparticles |
EP1728894B1 (en) | 2005-06-01 | 2008-10-15 | Interuniversitair Microelektronica Centrum ( Imec) | Atomic layer deposition (ald) method for producing a high quality layer |
US7538001B2 (en) | 2005-09-01 | 2009-05-26 | Micron Technology, Inc. | Transistor gate forming methods and integrated circuits |
US20070066060A1 (en) | 2005-09-19 | 2007-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and fabrication methods thereof |
EP1966841B1 (en) | 2005-12-20 | 2010-09-08 | Nxp B.V. | A vertical phase change memory cell and methods for manufacturing thereof |
US7910907B2 (en) | 2006-03-15 | 2011-03-22 | Macronix International Co., Ltd. | Manufacturing method for pipe-shaped electrode phase change memory |
US20070232015A1 (en) | 2006-04-04 | 2007-10-04 | Jun Liu | Contact for memory cell |
US8278216B1 (en) | 2006-08-18 | 2012-10-02 | Novellus Systems, Inc. | Selective capping of copper |
JP4267013B2 (en) | 2006-09-12 | 2009-05-27 | エルピーダメモリ株式会社 | Manufacturing method of semiconductor device |
KR100881391B1 (en) | 2006-09-29 | 2009-02-05 | 주식회사 하이닉스반도체 | Method for forming gate of semiconductor device |
KR100873890B1 (en) | 2006-11-17 | 2008-12-15 | 삼성전자주식회사 | Phase-change memory unit, method of forming the phase-change memory unit, phase-change memory device having the phase-change memory unit and method of manufacturing the phase-change memory device |
US8821637B2 (en) * | 2007-01-29 | 2014-09-02 | Applied Materials, Inc. | Temperature controlled lid assembly for tungsten nitride deposition |
TWI324823B (en) | 2007-02-16 | 2010-05-11 | Ind Tech Res Inst | Memory device and fabrications thereof |
JP2008205219A (en) | 2007-02-20 | 2008-09-04 | Masato Toshima | Showerhead, and cvd apparatus using the same showerhead |
US7786006B2 (en) | 2007-02-26 | 2010-08-31 | Tokyo Electron Limited | Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming |
CN100577866C (en) | 2007-02-27 | 2010-01-06 | 中微半导体设备(上海)有限公司 | Gas sprayer assembly applied in plasma reaction chamber, manufacture method and renewing reutilization method thereof |
WO2008129508A2 (en) | 2007-04-20 | 2008-10-30 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Deposition of transition metal carbide containing films |
KR100883412B1 (en) | 2007-05-09 | 2009-02-11 | 삼성전자주식회사 | Method of fabricating phase change memory device having self-aligned electrode, related device and electronic system |
CN101308794B (en) | 2007-05-15 | 2010-09-15 | 应用材料股份有限公司 | Atomic layer deposition of tungsten material |
US8017182B2 (en) | 2007-06-21 | 2011-09-13 | Asm International N.V. | Method for depositing thin films by mixed pulsed CVD and ALD |
KR100890047B1 (en) | 2007-06-28 | 2009-03-25 | 주식회사 하이닉스반도체 | Method for fabricating interconnection in semicondutor device |
US8142847B2 (en) | 2007-07-13 | 2012-03-27 | Rohm And Haas Electronic Materials Llc | Precursor compositions and methods |
US8017183B2 (en) | 2007-09-26 | 2011-09-13 | Eastman Kodak Company | Organosiloxane materials for selective area deposition of inorganic materials |
US7772114B2 (en) | 2007-12-05 | 2010-08-10 | Novellus Systems, Inc. | Method for improving uniformity and adhesion of low resistivity tungsten film |
US8053365B2 (en) | 2007-12-21 | 2011-11-08 | Novellus Systems, Inc. | Methods for forming all tungsten contacts and lines |
US9217200B2 (en) | 2007-12-21 | 2015-12-22 | Asm International N.V. | Modification of nanoimprint lithography templates by atomic layer deposition |
KR20090101592A (en) | 2008-03-24 | 2009-09-29 | 삼성전자주식회사 | Method of forming an oxide layer and method of forming a gate using the same |
WO2009125255A1 (en) | 2008-04-11 | 2009-10-15 | Freescale Semiconductor, Inc. | Surface treatment in semiconductor manufacturing |
US8058170B2 (en) | 2008-06-12 | 2011-11-15 | Novellus Systems, Inc. | Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics |
US20100120245A1 (en) | 2008-11-07 | 2010-05-13 | Agus Sofian Tjandra | Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films |
KR101263856B1 (en) | 2008-12-31 | 2013-05-13 | 어플라이드 머티어리얼스, 인코포레이티드 | Method of depositing tungsten film with reduced resistivity and improved surface morphology |
KR101559425B1 (en) | 2009-01-16 | 2015-10-13 | 삼성전자주식회사 | Method of manufacturing a semiconductor device |
US8492817B2 (en) | 2009-02-13 | 2013-07-23 | International Business Machines Corporation | Highly scalable trench capacitor |
KR20100096488A (en) | 2009-02-24 | 2010-09-02 | 삼성전자주식회사 | Semiconductor device having recess channel structure |
JP5550843B2 (en) | 2009-03-19 | 2014-07-16 | ラピスセミコンダクタ株式会社 | Manufacturing method of semiconductor device |
US8623733B2 (en) | 2009-04-16 | 2014-01-07 | Novellus Systems, Inc. | Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects |
US20110020546A1 (en) | 2009-05-15 | 2011-01-27 | Asm International N.V. | Low Temperature ALD of Noble Metals |
US8124531B2 (en) | 2009-08-04 | 2012-02-28 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US9034768B2 (en) | 2010-07-09 | 2015-05-19 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US8119527B1 (en) | 2009-08-04 | 2012-02-21 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
KR101604054B1 (en) | 2009-09-03 | 2016-03-16 | 삼성전자주식회사 | Semiconductor devices and methods of forming thereof |
US8207062B2 (en) * | 2009-09-09 | 2012-06-26 | Novellus Systems, Inc. | Method for improving adhesion of low resistivity tungsten/tungsten nitride layers |
TWI449170B (en) | 2009-12-29 | 2014-08-11 | Ind Tech Res Inst | Phase change memory devices and fabrication methods thereof |
US8642797B2 (en) | 2010-02-25 | 2014-02-04 | Air Products And Chemicals, Inc. | Amidate precursors for depositing metal containing films |
US20110256692A1 (en) | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
IL213195A0 (en) | 2010-05-31 | 2011-07-31 | Rohm & Haas Elect Mat | Photoresist compositions and emthods of forming photolithographic patterns |
TWI509695B (en) | 2010-06-10 | 2015-11-21 | Asm Int | Method for selectively depositing film on substrate |
US20120003833A1 (en) | 2010-07-01 | 2012-01-05 | Applied Materials, Inc. | Methods for forming tungsten-containing layers |
WO2012047591A1 (en) | 2010-09-27 | 2012-04-12 | Astrowatt, Inc. | Electronic device including a semiconductor layer and a metal-containing layer, and a process of forming the same |
US8632853B2 (en) | 2010-10-29 | 2014-01-21 | Applied Materials, Inc. | Use of nitrogen-containing ligands in atomic layer deposition methods |
US8227785B2 (en) | 2010-11-11 | 2012-07-24 | Micron Technology, Inc. | Chalcogenide containing semiconductors with chalcogenide gradient |
US8969823B2 (en) | 2011-01-21 | 2015-03-03 | Uchicago Argonne, Llc | Microchannel plate detector and methods for their fabrication |
US8865594B2 (en) | 2011-03-10 | 2014-10-21 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
JP5730670B2 (en) | 2011-05-27 | 2015-06-10 | 株式会社Adeka | Method for producing thin film containing molybdenum oxide, and raw material for forming thin film containing molybdenum oxide |
KR101817158B1 (en) | 2011-06-02 | 2018-01-11 | 삼성전자 주식회사 | Phase change memory device having stack-typed capacitor |
US8617985B2 (en) | 2011-10-28 | 2013-12-31 | Applied Materials, Inc. | High temperature tungsten metallization process |
US9112003B2 (en) | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
WO2013112383A1 (en) | 2012-01-26 | 2013-08-01 | Sigma-Aldrich Co. Llc | Molybdenum allyl complexes and use thereof in thin film deposition |
JP6195898B2 (en) | 2012-03-27 | 2017-09-13 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | Feature filling with tungsten with nucleation inhibition |
TWI602283B (en) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | Tungsten feature fill |
US9969622B2 (en) | 2012-07-26 | 2018-05-15 | Lam Research Corporation | Ternary tungsten boride nitride films and methods for forming same |
KR101990051B1 (en) | 2012-08-31 | 2019-10-01 | 에스케이하이닉스 주식회사 | Semiconductor device with fluorine free tungsten barrier layer and method for fabricating the same |
US8853080B2 (en) | 2012-09-09 | 2014-10-07 | Novellus Systems, Inc. | Method for depositing tungsten film with low roughness and low resistivity |
US9637395B2 (en) | 2012-09-28 | 2017-05-02 | Entegris, Inc. | Fluorine free tungsten ALD/CVD process |
JP2014074190A (en) | 2012-10-02 | 2014-04-24 | Tokyo Electron Ltd | Film deposition apparatus |
US9169556B2 (en) | 2012-10-11 | 2015-10-27 | Applied Materials, Inc. | Tungsten growth modulation by controlling surface composition |
US9230815B2 (en) | 2012-10-26 | 2016-01-05 | Appled Materials, Inc. | Methods for depositing fluorine/carbon-free conformal tungsten |
US11043386B2 (en) | 2012-10-26 | 2021-06-22 | Applied Materials, Inc. | Enhanced spatial ALD of metals through controlled precursor mixing |
US9627611B2 (en) | 2012-11-21 | 2017-04-18 | Micron Technology, Inc. | Methods for forming narrow vertical pillars and integrated circuit devices having the same |
US9546419B2 (en) * | 2012-11-26 | 2017-01-17 | Applied Materials, Inc. | Method of reducing tungsten film roughness and resistivity |
US9029258B2 (en) | 2013-02-05 | 2015-05-12 | Lam Research Corporation | Through silicon via metallization |
WO2014140672A1 (en) | 2013-03-15 | 2014-09-18 | L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude | Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films |
US9082826B2 (en) | 2013-05-24 | 2015-07-14 | Lam Research Corporation | Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features |
WO2015023404A1 (en) | 2013-08-16 | 2015-02-19 | Applied Materials, Inc. | Tungsten deposition with tungsten hexafluoride (wf6) etchback |
US11549181B2 (en) | 2013-11-22 | 2023-01-10 | Applied Materials, Inc. | Methods for atomic layer deposition of SiCO(N) using halogenated silylamides |
US9589808B2 (en) | 2013-12-19 | 2017-03-07 | Lam Research Corporation | Method for depositing extremely low resistivity tungsten |
TWI672737B (en) | 2013-12-27 | 2019-09-21 | 美商蘭姆研究公司 | Tungsten nucleation process to enable low resistivity tungsten feature fill |
US11286557B2 (en) | 2014-01-24 | 2022-03-29 | Commissariat A L'energie Atomique Et Aux Engergies Alternatives | Method of forming a crystalline thin film having the formula MY2 using an ALD-formed amorphous thin film having the formula MYx as a precursor |
JP5852151B2 (en) * | 2014-02-12 | 2016-02-03 | 株式会社日立国際電気 | Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium |
JP2015177006A (en) | 2014-03-14 | 2015-10-05 | 株式会社東芝 | Semiconductor device and manufacturing method of the same |
JP6379550B2 (en) | 2014-03-18 | 2018-08-29 | 東京エレクトロン株式会社 | Deposition equipment |
JP6297884B2 (en) | 2014-03-28 | 2018-03-20 | 東京エレクトロン株式会社 | Method for forming tungsten film |
US9595470B2 (en) | 2014-05-09 | 2017-03-14 | Lam Research Corporation | Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor |
US20150348840A1 (en) | 2014-05-31 | 2015-12-03 | Lam Research Corporation | Methods of filling high aspect ratio features with fluorine free tungsten |
US9551074B2 (en) | 2014-06-05 | 2017-01-24 | Lam Research Corporation | Electroless plating solution with at least two borane containing reducing agents |
US9624577B2 (en) | 2014-07-22 | 2017-04-18 | Applied Materials, Inc. | Deposition of metal doped amorphous carbon film |
TWI656232B (en) | 2014-08-14 | 2019-04-11 | 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 | Molybdenum compositions and their use to form molybdenum oxide films |
US9349637B2 (en) | 2014-08-21 | 2016-05-24 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9548266B2 (en) | 2014-08-27 | 2017-01-17 | Nxp Usa, Inc. | Semiconductor package with embedded capacitor and methods of manufacturing same |
WO2016032468A1 (en) * | 2014-08-27 | 2016-03-03 | Ultratech, Inc. | Improved through silicon via |
KR102156409B1 (en) | 2014-09-16 | 2020-09-15 | 에스케이하이닉스 주식회사 | Method of forming pattern |
JP2016098406A (en) | 2014-11-21 | 2016-05-30 | 東京エレクトロン株式会社 | Film deposition method of molybdenum film |
US10727122B2 (en) | 2014-12-08 | 2020-07-28 | International Business Machines Corporation | Self-aligned via interconnect structures |
US20160168699A1 (en) | 2014-12-12 | 2016-06-16 | Asm Ip Holding B.V. | Method for depositing metal-containing film using particle-reduction step |
US9502263B2 (en) | 2014-12-15 | 2016-11-22 | Applied Materials, Inc. | UV assisted CVD AlN film for BEOL etch stop application |
US9443865B2 (en) | 2014-12-18 | 2016-09-13 | Sandisk Technologies Llc | Fabricating 3D NAND memory having monolithic crystalline silicon vertical NAND channel |
US9520295B2 (en) | 2015-02-03 | 2016-12-13 | Lam Research Corporation | Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems |
US9953984B2 (en) | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
KR102440302B1 (en) | 2015-04-13 | 2022-09-05 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | Semiconductor device and method for manufacturing the same |
US20160309596A1 (en) | 2015-04-15 | 2016-10-20 | Applied Materials, Inc. | Methods for forming cobalt interconnects |
US10079144B2 (en) | 2015-04-22 | 2018-09-18 | Samsung Electronics Co., Ltd. | Composition for layered transition metal chalcogenide compound layer and method of forming layered transition metal chalcogenide compound layer |
WO2016181705A1 (en) | 2015-05-11 | 2016-11-17 | 日本放送協会 | Organic thin film and method for manufacturing organic thin film, organic electroluminescence element, display device, illumination device, organic thin film solar cell, thin film transistor, and coating composition |
TW201700761A (en) | 2015-05-13 | 2017-01-01 | 應用材料股份有限公司 | Improved tungsten films by organometallic or silane pre-treatment of substrate |
US10170320B2 (en) | 2015-05-18 | 2019-01-01 | Lam Research Corporation | Feature fill with multi-stage nucleation inhibition |
US9978605B2 (en) | 2015-05-27 | 2018-05-22 | Lam Research Corporation | Method of forming low resistivity fluorine free tungsten film without nucleation |
KR102344660B1 (en) | 2015-05-27 | 2021-12-29 | 에이에스엠 아이피 홀딩 비.브이. | Synthesis and use of precursors for ald of molybdenum or tungsten containing thin films |
US9754824B2 (en) * | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
US9613818B2 (en) | 2015-05-27 | 2017-04-04 | Lam Research Corporation | Deposition of low fluorine tungsten by sequential CVD process |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US9978610B2 (en) | 2015-08-21 | 2018-05-22 | Lam Research Corporation | Pulsing RF power in etch process to enhance tungsten gapfill performance |
US10121671B2 (en) | 2015-08-28 | 2018-11-06 | Applied Materials, Inc. | Methods of depositing metal films using metal oxyhalide precursors |
US20170062714A1 (en) | 2015-08-31 | 2017-03-02 | Intel Corporation | Thermally regulated electronic devices, systems, and associated methods |
US9853123B2 (en) | 2015-10-28 | 2017-12-26 | United Microelectronics Corp. | Semiconductor structure and fabrication method thereof |
CN108431924B (en) * | 2015-11-25 | 2024-03-22 | 应用材料公司 | Method for forming low resistance contacts by integrated process flow system |
US10229837B2 (en) | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
US10535558B2 (en) | 2016-02-09 | 2020-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming trenches |
JP2019510877A (en) | 2016-02-19 | 2019-04-18 | メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung | Deposition of molybdenum thin films using molybdenum carbonyl precursors |
US9837350B2 (en) | 2016-04-12 | 2017-12-05 | International Business Machines Corporation | Semiconductor interconnect structure with double conductors |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
TWI732846B (en) | 2016-04-25 | 2021-07-11 | 美商應用材料股份有限公司 | Enhanced spatial ald of metals through controlled precursor mixing |
US10214807B2 (en) * | 2016-06-02 | 2019-02-26 | Lam Research Corporation | Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack |
US9659998B1 (en) | 2016-06-07 | 2017-05-23 | Macronix International Co., Ltd. | Memory having an interlayer insulating structure with different thermal resistance |
US10014212B2 (en) | 2016-06-08 | 2018-07-03 | Asm Ip Holding B.V. | Selective deposition of metallic films |
US20180019165A1 (en) | 2016-07-14 | 2018-01-18 | Entegris, Inc. | CVD Mo DEPOSITION BY USING MoOCl4 |
WO2018021014A1 (en) | 2016-07-26 | 2018-02-01 | 東京エレクトロン株式会社 | Method for forming tungsten film |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US9899372B1 (en) | 2016-10-31 | 2018-02-20 | International Business Machines Corporation | Forming on-chip metal-insulator-semiconductor capacitor |
US20180142345A1 (en) | 2016-11-23 | 2018-05-24 | Entegris, Inc. | Low temperature molybdenum film deposition utilizing boron nucleation layers |
US10453744B2 (en) | 2016-11-23 | 2019-10-22 | Entegris, Inc. | Low temperature molybdenum film deposition utilizing boron nucleation layers |
US10262945B2 (en) | 2016-11-28 | 2019-04-16 | Sandisk Technologies Llc | Three-dimensional array device having a metal containing barrier and method of making thereof |
JP2018098287A (en) | 2016-12-09 | 2018-06-21 | 東芝メモリ株式会社 | Method of manufacturing semiconductor device |
US10283404B2 (en) | 2017-03-30 | 2019-05-07 | Lam Research Corporation | Selective deposition of WCN barrier/adhesion layer for interconnect |
JP7224335B2 (en) | 2017-04-10 | 2023-02-17 | ラム リサーチ コーポレーション | Low resistance film containing molybdenum |
US11177127B2 (en) | 2017-05-24 | 2021-11-16 | Versum Materials Us, Llc | Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films |
KR20220129116A (en) | 2017-06-23 | 2022-09-22 | 메르크 파텐트 게엠베하 | Methods of atomic layer deposition for selective film growth |
US10199267B2 (en) | 2017-06-30 | 2019-02-05 | Lam Research Corporation | Tungsten nitride barrier layer deposition |
TWI839906B (en) | 2017-08-30 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US20190067003A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures |
US20190067014A1 (en) * | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor device structures |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US11049714B2 (en) | 2017-09-19 | 2021-06-29 | Versum Materials Us, Llc | Silyl substituted organoamines as precursors for high growth rate silicon-containing films |
US10096475B1 (en) | 2017-11-17 | 2018-10-09 | Lam Research Corporation | System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks |
KR20200079339A (en) | 2017-11-20 | 2020-07-02 | 램 리써치 코포레이션 | Self limiting growth |
WO2019118684A1 (en) | 2017-12-14 | 2019-06-20 | Applied Materials, Inc. | Methods of etching metal oxides with less etch residue |
US10381411B2 (en) | 2017-12-15 | 2019-08-13 | Sandisk Technologies Llc | Three-dimensional memory device containing conformal wrap around phase change material and method of manufacturing the same |
US11560625B2 (en) | 2018-01-19 | 2023-01-24 | Entegris, Inc. | Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor |
TW201939628A (en) | 2018-03-02 | 2019-10-01 | 美商微材料有限責任公司 | Methods for removing metal oxides |
WO2019213604A1 (en) | 2018-05-03 | 2019-11-07 | Lam Research Corporation | Method of depositing tungsten and other metals in 3d nand structures |
US12057310B2 (en) | 2018-05-22 | 2024-08-06 | Versum Materials Us, Llc | Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films |
US10643846B2 (en) | 2018-06-28 | 2020-05-05 | Lam Research Corporation | Selective growth of metal-containing hardmask thin films |
US10505111B1 (en) | 2018-07-20 | 2019-12-10 | International Business Machines Corporation | Confined phase change memory with double air gap |
WO2020023790A1 (en) | 2018-07-26 | 2020-01-30 | Lam Research Corporation | Deposition of pure metal films |
WO2020028587A1 (en) | 2018-07-31 | 2020-02-06 | Lam Research Corporation | Multi-layer feature fill |
JP2020056104A (en) | 2018-10-02 | 2020-04-09 | エーエスエム アイピー ホールディング ビー.ブイ. | Selective passivation and selective deposition |
US11387112B2 (en) | 2018-10-04 | 2022-07-12 | Tokyo Electron Limited | Surface processing method and processing system |
US10510951B1 (en) | 2018-10-24 | 2019-12-17 | Taiwan Semicondutor Manufacturing Co., Ltd. | Low temperature film for PCRAM sidewall protection |
US11362277B2 (en) | 2018-11-14 | 2022-06-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Sidewall protection for PCRAM device |
KR102355507B1 (en) | 2018-11-14 | 2022-01-27 | (주)디엔에프 | Method of manufacturing a molybdenum-containing thin film and molybdenum-containing thin film manufactured thereby |
WO2020106649A1 (en) | 2018-11-19 | 2020-05-28 | Lam Research Corporation | Molybdenum templates for tungsten |
US10763432B2 (en) | 2018-12-13 | 2020-09-01 | Intel Corporation | Chalcogenide-based memory architecture |
US10903273B2 (en) | 2019-01-04 | 2021-01-26 | International Business Machines Corporation | Phase change memory with gradual conductance change |
TWI819180B (en) * | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
WO2020159882A1 (en) | 2019-01-28 | 2020-08-06 | Lam Research Corporation | Deposition of metal films |
WO2020185618A1 (en) | 2019-03-11 | 2020-09-17 | Lam Research Corporation | Precursors for deposition of molybdenum-containing films |
KR20200123380A (en) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | Layer forming method and apparatus |
US11282745B2 (en) | 2019-04-28 | 2022-03-22 | Applied Materials, Inc. | Methods for filling features with ruthenium |
TW202117050A (en) | 2019-08-12 | 2021-05-01 | 美商應用材料股份有限公司 | Molybdenum thin films by oxidation-reduction |
CN114269970A (en) | 2019-08-22 | 2022-04-01 | 朗姆研究公司 | Substantially carbon-free molybdenum-and tungsten-containing films in semiconductor device fabrication |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
JP2022547025A (en) | 2019-09-03 | 2022-11-10 | ラム リサーチ コーポレーション | molybdenum deposition |
US11450562B2 (en) | 2019-09-16 | 2022-09-20 | Tokyo Electron Limited | Method of bottom-up metallization in a recessed feature |
US11145690B2 (en) | 2019-09-26 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device and manufacturing method thereof |
CN114667600A (en) | 2019-10-15 | 2022-06-24 | 朗姆研究公司 | Molybdenum fill |
US11821080B2 (en) | 2020-03-05 | 2023-11-21 | L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Reagents to remove oxygen from metal oxyhalide precursors in thin film deposition processes |
CN115244666A (en) | 2020-03-06 | 2022-10-25 | 朗姆研究公司 | Atomic layer etching of molybdenum |
WO2021183728A1 (en) | 2020-03-11 | 2021-09-16 | Applied Materials, Inc. | Gap fill methods using catalyzed deposition |
US11417568B2 (en) | 2020-04-10 | 2022-08-16 | Applied Materials, Inc. | Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill |
KR20210137395A (en) | 2020-05-07 | 2021-11-17 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for performing an in-situ etch of reaction chambers with fluorine-based radicals |
US20230326790A1 (en) | 2020-05-22 | 2023-10-12 | Lam Research Corporation | Low resistivity contacts and interconnects |
KR20210156444A (en) | 2020-06-18 | 2021-12-27 | 주식회사 아이켐스 | Molybdenum precursors, thin films using the same and deposition method of the same |
TW202200828A (en) | 2020-06-24 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | Vapor deposition of films comprising molybdenum |
US11282711B2 (en) | 2020-07-31 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Plasma-assisted etching of metal oxides |
KR20220058434A (en) | 2020-10-30 | 2022-05-09 | 에이에스엠 아이피 홀딩 비.브이. | Molybdenum deposition method |
US12060370B2 (en) | 2021-01-12 | 2024-08-13 | Applied Materials, Inc. | Molybdenum (0) precursors for deposition of molybdenum films |
US11434254B2 (en) | 2021-01-12 | 2022-09-06 | Applied Materials, Inc. | Dinuclear molybdenum precursors for deposition of molybdenum-containing films |
US11530477B2 (en) | 2021-01-12 | 2022-12-20 | Applied Materials, Inc. | Cycloheptatriene molybdenum (0) precursors for deposition of molybdenum films |
-
2020
- 2020-01-27 WO PCT/US2020/015241 patent/WO2020159882A1/en active Application Filing
- 2020-01-27 KR KR1020227033814A patent/KR20220139417A/en active Application Filing
- 2020-01-27 SG SG11202108217UA patent/SG11202108217UA/en unknown
- 2020-01-27 US US17/310,293 patent/US11970776B2/en active Active
- 2020-01-27 CN CN202080011300.3A patent/CN113366144B/en active Active
- 2020-01-27 KR KR1020217026960A patent/KR20210110886A/en unknown
- 2020-01-27 CN CN202310720841.9A patent/CN116970925A/en active Pending
- 2020-01-27 JP JP2021543355A patent/JP2022523689A/en active Pending
- 2020-01-30 TW TW109102778A patent/TW202039911A/en unknown
-
2022
- 2022-07-21 US US17/814,206 patent/US20220356579A1/en active Pending
- 2022-09-07 JP JP2022141887A patent/JP2022180422A/en active Pending
-
2024
- 2024-04-03 US US18/626,278 patent/US20240271281A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
JP2022180422A (en) | 2022-12-06 |
US20220195598A1 (en) | 2022-06-23 |
CN116970925A (en) | 2023-10-31 |
TW202039911A (en) | 2020-11-01 |
US11970776B2 (en) | 2024-04-30 |
WO2020159882A1 (en) | 2020-08-06 |
US20240271281A1 (en) | 2024-08-15 |
US20220356579A1 (en) | 2022-11-10 |
JP2022523689A (en) | 2022-04-26 |
KR20220139417A (en) | 2022-10-14 |
CN113366144B (en) | 2023-07-07 |
CN113366144A (en) | 2021-09-07 |
KR20210110886A (en) | 2021-09-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
SG11202108217UA (en) | Deposition of metal films | |
EP3790933A4 (en) | Graphene-enabled anti-corrosion coating | |
SG11202109796QA (en) | Precursors for deposition of molybdenum-containing films | |
EP3310788A4 (en) | Inherently selective precursors for deposition of second or third row transition metal thin films | |
GB2570733B (en) | Corrosion protection for metallic substrates | |
GB2572764B (en) | Corrosion protection for metallic substrates | |
EP4200095A4 (en) | Improved metal deposition system | |
GB201810721D0 (en) | Powder deposition | |
EP3345977A4 (en) | Coating material for prevention of metal corrosion, and process for producing coating film for prevention of metal corrosion | |
EP4078657A4 (en) | Area selective deposition of metal containing films | |
EP3443139A4 (en) | Coating by ald for suppressing metallic whiskers | |
SG11202109137XA (en) | Improved coating processes | |
SG11202004730PA (en) | Titanium-containing film forming compositions for vapor deposition of titanium-containing films | |
GB2588942B (en) | Sputter deposition | |
GB2588938B (en) | Sputter deposition | |
GB201915802D0 (en) | Metallic coated substrates | |
SG11202101993VA (en) | Methods of depositing metal carbide films | |
EP3454877A4 (en) | Compositions and methods for inhibiting biofilm deposition and production | |
EP3988092A4 (en) | New application of metal complex | |
GB2588934B (en) | Sputter deposition | |
GB201906840D0 (en) | Method of deposition | |
EP3580370A4 (en) | Organoamino-polysiloxanes for deposition of silicon-containing films | |
GB2588937B (en) | Sputter deposition | |
GB201916623D0 (en) | Sputter deposition | |
GB201916957D0 (en) | Wall deposition |