KR20080101745A - 텅스텐 재료들의 원자층 증착 - Google Patents

텅스텐 재료들의 원자층 증착 Download PDF

Info

Publication number
KR20080101745A
KR20080101745A KR1020080045144A KR20080045144A KR20080101745A KR 20080101745 A KR20080101745 A KR 20080101745A KR 1020080045144 A KR1020080045144 A KR 1020080045144A KR 20080045144 A KR20080045144 A KR 20080045144A KR 20080101745 A KR20080101745 A KR 20080101745A
Authority
KR
South Korea
Prior art keywords
tungsten
sccm
layer
substrate
range
Prior art date
Application number
KR1020080045144A
Other languages
English (en)
Inventor
아미트 크한델왈
마드후 무어티
아브게리노즈 브이. 게라토스
카이 우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080101745A publication Critical patent/KR20080101745A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides

Abstract

본 발명의 실시예들은 텅스텐-함유 재료들을 증착하기 위한 개선된 프로세스를 제공한다. 프로세스는 현저히 개선된 표면 균일도 및 제조 레벨 수율을 갖는 텅스텐 막들을 제공하기 위한 원자층 증착(ALD)과 같은, 침수(soak) 프로세스들과 기상 증착 프로세스들을 이용한다. 일 실시예에서, 기판 상에 텅스텐-함유 재료를 형성하기 위한 방법이 제공되며, 프로세스 챔버 내에 기판을 배치하는 단계 - 상기 기판은 상부에 배치된 하부층을 포함함 -; ALD 프로세스 동안 상기 하부층 상에 텅스텐 핵생성 층을 증착하기 위해, 상기 기판을 텅스텐 프리커서 및 환원 가스에 순차적으로 노출시키는 단계 - 상기 환원 가스는 약 40:1, 100:1, 500:1, 800:1, 1,000:1 이상의 수소/수소화물 유속 비율을 포함함 -; 및 상기 텅스텐 핵생성 층 상에 텅스텐 벌크층을 증착하는 단계를 포함한다. 환원 가스는 디보란, 실란 또는 디실란과 같은 수소화 화합물을 함유한다.

Description

텅스텐 재료들의 원자층 증착{ATOMIC LAYER DEPOSITION OF TUNGSTEN MATERIALS}
본 발명의 실시예들은 기판들의 처리에 관한 것으로서, 보다 상세하게는, 기상 증착 프로세스들을 사용하는 기판들상의 텅스텐층들의 증착에 관한 것이다.
기판 처리 기술들을 이용하는 다른 산업뿐 아니라, 반도체 처리 산업은 더 큰 표면 영역을 갖는 기판들상에 증착된 층들의 균일도를 증가시키면서 더 큰 제품 수율을 얻기 위해 계속해서 노력하고 있다. 새로운 물질들과 결합하여 이러한 동일한 팩터들은 또한 기판의 단위 영역당 회로들의 더 높은 집적을 제공한다. 회로 집적이 증가함에 따라, 균일성 및 층 두께와 관련된 프로세스 제어에 대한 요구가 점점 커지고 있다. 결과적으로, 층의 특성에 대한 제어를 유지시키면서 비용 효율적인 방식으로 기판들상에 층들을 증착하기 위한 다양한 기술들이 개발되었다.
화학 기상 증착(CVD: chemical vapor deposition)은 기판상에 층들을 증착하기 위하여 이용되는 가장 일반적인 증착 프로세스들 중 하나이다. CVD는 균일한 두께의 원하는 층을 제작하기 위하여 프로세스 챔버로 주입되는 프리커서들 및 기판 온도의 정확한 제어를 요구하는 플럭스-의존 증착 기술이다. 이러한 요구조건 들은 기판 크기가 증가함에 따라 더욱 중요해지며, 충분한 균일성을 유지시키기 위하여 가스 흐름 기술 및 챔버 디자인이 더욱 복잡해지도록 요구된다.
우수한 단차피복층(step coverage)을 증명하는 CVD의 변형은 주기적 증착 또는 원자층 증착(ALD)이다. 주기적 증착은 원자층 에피택시(ALE: atomic layer epitaxy)에 기초하며 순차적 사이클들에서 기판 표면상에 프리커서 분자들을 운반하기 위하여 화학 흡착 기술을 이용한다. 사이클은 기판 표면을 제1 프리커서, 정화 가스, 제2 프리커서 및 정화 가스에 노출시킨다. 제1 및 제2 프리커서들은 기판 표면상에 막으로서 생성물 화합물을 형성하기 위하여 반응한다. 사이클은 층을 원하는 두께로 형성하기 위하여 반복된다.
충분한 단차피복층을 제공하는 동안의 높은 증착율로 막층들을 형성하는 것은 종종 다른 하나를 위해 하나를 희생할 필요가 있는 특성들을 상충시킨다. 이러한 마찰은 유전체층들에 의해 분리된 인접 금속층들을 상호접속시키는 접촉의 형성 동안에 갭들 또는 비아들 위에 내화 금속층들이 증착될 때 특히 발생한다. 역사적으로, CVD 기술들은 빠르고 저렴하게 접촉들을 형성하기 위하여 내화 금속과 같은 전도성 금속을 증착하기 위하여 이용되어왔다. 반도체 회로의 집적 증가로 인하여, 텅스텐은 우수한 단차피복층에 기초하여 사용되었다. 결과적으로, CVD 기술들을 이용하는 텅스텐의 증착은 프로세스의 높은 재료 처리량으로 인하여 반도체 처리에서 폭 넓게 이용되었다.
그러나, 종래의 CVD 방법들에 의해 텅스텐을 증착하는 것은 다수의 단점들을 수반한다. 예를 들어, ALD 프로세스들은 높은 종횡비(예를 들어, 20)를 포함하는 비아들로 텅스텐 막들을 증착하는데 반하여, 종래의 CVD 프로세스들은 보통 유사한 비아들의 "핀치-오프(pinch-off)"를 야기하며, 완전히 충진되지 않을 것이다. 또한, 반도체 웨이퍼상의 텅스텐층의 블랭킷 증착은 400℃ 미만의 온도에서 시간소모적이다. 텅스턴의 증착율은 예를 들어, 약 500℃ 내지 약 550℃로 증착 온도를 증가시킴으로써 개선될 수 있다. 그러나, 이러한 더 높은 범위의 온도는 형성되고 있는 집적 회로의 하부 부분들의 구조 및 조작의 완전성을 손상할 수 있다. 텅스텐의 사용은 또한 실리콘(두께 및 파장 의존성)보다 70% 이하의 반사력을 갖는 상대적으로 거친 표면을 초래하기 때문에 제조 프로세스 동안에 실망스러운 포토리소그래피 단계들을 갖는다. 또한, 텅스텐은 균일하게 증착하는 것이 어려운 것으로 밝혀졌다. 열등한 표면 균일성은 통상적으로 막 저항력을 증가시킨다.
따라서, ALD 프로세스를 사용하여 우수한 균일성, 높은 전도성 또는 낮은 저항성을 가지며, 최소의 오염물질을 갖거나 전혀 갖지 않는 텅스텐 함유 물질들을 증착시키기 위한 개선된 방법이 요구된다.
본 발명의 실시예들은 텅스텐-함유 재료들을 위한 개선된 프로세스를 제공한다. 프로세스는 현저히 개선된 전도율, 표면 균일도 및 제조 레벨 수율을 갖는 텅스텐-함유 물질들을 제공하기 위하여 원자층 증착(ALD)과 같은 기상 증착 프로세스들 및 침수 프로세스들을 이용한다. 일실시예에서, 기판상에 텅스텐-함유 재료를 형성하기 위한 방법이 제공되며, 상기 방법은, 프로세스 챔버내에 기판을 위치시키는 단계 - 기판은 상부에 배치된 하부층을 포함함 -, 원자층 증착 프로세스 동안에 하부층상에 텅스텐 핵생성 층을 증착시키기 위하여 텅스텐 프리커서 및 환원 가스에 순차적으로 기판을 노출시키는 단계 - 환원 가스는 약 40:1의 수소/수소화물 유속을 포함함 -, 및 텅스텐 핵생성 층상에 텅스텐 벌크층을 증착시키는 단계를 포함한다. 환원 가스는 실란, 디실란, 디보란, 포스핀, 그들의 유도체 또는 그들의 결합물들과 같은 수소화물 화합물을 포함한다.
몇몇 실시예들에서, 환원 가스의 수소/수소화물 유속비는 약 500:1 이상, 약 800:1, 약 1000:1, 약 1200:1 이상과 같은, 약 100:1 이상이다. 수소화물 화합물은 약 1sccm 내지 약 40sccm, 바람직하게는 약 3sccm 내지 약 30sccm, 보다 바람직하게는 약 5sccm 내지 약 15sccm의 범위내의 유속을 가질 수 있다. 환원 가스는 약 1slm 내지 20slm, 바람직하게는 약 3slm 내지 약 15slm, 보다 바람직하게는 약 5slm 내지 약 10slm 범위내의 유속을 갖는 수소를 포함한다.
몇몇 실시예들에서, 상기 방법은 텅스텐 핵생성 층을 증착시키는 단계 이전에, 사전-침수(pre-soak gas) 프로세스 동안 환원제를 포함하는 사전-침수 가스에 하부층을 노출시키는 단계를 더 포함한다. 다른 실시예들에서, 상기 방법은 사후-침수 프로세스 동안에 환원제를 포함하는 사후-침수 가스(post-soak gas)에 텅스텐 핵생성 층을 노출시키는 단계를 포함할 수 있다. 환원제는 실란, 디실란, 디보란, 포스핀, 그들의 유도체 또는 그들의 결합물들을 포함할 수 있다. 기판은 약 5초 내지 약 60초, 바람직하게는 약 10초 내지 약 30초, 보다 바람직하게는 약 15초 내지 약 20초 범위내의 시간 주기 동안 환원제에 노출될 수 있다. 일부 예들에서, 사전-침수 가스 또는 사후-침수 가스는 예컨대 약 100:1, 약 500:1, 약 800:1, 약 1000:1, 약 1200:1 또는 그 이상과 같이 약 40:1 또는 그 이상의 수소/수소화물 유속(flow rate) 비율을 가질 수 있다.
일부 예시에서, 기판은 ALD 공정, 사전-침수 공정 또는 사후-침수 공정 동안 200℃ 내지 약 600℃, 바람직하게는 약 300℃ 내지 약 500℃, 더욱 바람직하게는 약 350℃ 내지 약 420℃, 더더욱 바람직하게는 약 375℃ 내지 약 400℃의 범위의 온도로 가열될 수 있다. 프로세스 챔버는 ALD 공정, 사전-침수 공정 및/또는 사후-침수 공정 동안 약 1 Torr 내지 약 300 Torr, 바람직하게는 약 1 Torr 내지 약 100 Torr, 더욱 바람직하게는 약 10 Torr 내지 약 50 Torr, 더더욱 바람직하게는 약 20 Torr 내지 약 40 Torr의 범위의 내부 압력을 가질 수 있다.
텅스텐 벌크층은, 예컨대 육불화 텅스텐 및 수소 가스를 프로세스 챔버 안으로 함께 유동(co-flowing)시킴으로써, 종래 화학 기상 증착(CVD) 공정에 의해 증착될 수 있다. 텅스텐 벌크층은 약 20 Ωμ-㎝ 이하, 바람직하게는 약 16 Ωμ-㎝ 이하, 약 10 Ωμ-㎝ 이하, 바람직하게는 약 9 Ωμ-㎝ 이하, 더욱 바람직하게는 약 8 Ωμ-㎝ 이하, 보다 더 바람직하게는 약 7 Ωμ-㎝ 이하의 기판을 가로질러 측정된 저항률(resistivity)을 가질 수 있다. 텅스텐 핵생성 층은 예컨대 약 50 Å 미만과 같은 약 2 Å 내지 약 200 Å의 범위의 두께를 가질 수 있다.
일 실시예에서, 하부층은 금속 티타늄, 질화 티타늄, 금속 탄탈륨, 질화 탄탈륨, 이들의 규화물, 이들의 유도체, 이들의 합금, 또는 이들의 조합물을 함유할 수 있는 배리어층일 수 있다. 배리어층은 ALD 공정, CVD 공정, 또는 물리 기상 증착(PVD) 프로세스에 의해 증착될 수 있다. 다른 실시예에서, 하부층은 루테늄, 니켈, 코발트, 금속 텅스텐, 질화 텅스텐, 이들의 규화물, 이들의 유도체, 이들의 합금, 또는 이들의 조합물을 함유할 수 있는 핵생성/배리어층이다. 핵생성/배리어층은 ALD 공정 또는 PVD 공정에 의해 증착될 수 있다.
일 예시에서, 기판 상에 텅스텐-함유 재료를 형성하기 위한 방법이 제공되며, 본 방법은 프로세스 챔버 안에 기판을 위치시키는 단계 - 상기 기판은 그 위에 배치된 하부층을 포함함 - ; ALD 공정 동안 하부층 상에 텅스텐 핵생성층을 증착하기 위해 기판을 텅스텐 프리커서 및 환원 가스에 연속하여 노출시키는 단계 - 상기 환원 가스는 약 40:1 이상의 수소/디보란(diborane) 유속 비율을 가짐 - ; 및 텅스텐 핵생성층 상에 텅스텐 벌크층을 증착시키는 단계를 포함한다.
상기 방법은 텅스텐 핵생성층을 증착시키는 단계 이전에, 사전-침수 공정 동안 환원제(예컨대, 디보란 또는 실란)를 함유한 사전-침수 가스에 하부층을 노출시키는 단계를 더 포함할 수 있다. 또한 상기 방법은 사후-침수 프로세스 동안 환원제(예컨대 디보란 또는 실란)를 함유한 사후-침수 가스에 텅스텐 핵생성층을 노출 시키는 단계를 포함할 수 있다. 일부 예시에서, 환원 가스의 수소/디보란 유속 비율은, 예컨대 약 500:1, 약 800:1, 약 1000:1 또는 그 이상과 같이, 약 100:1 또는 그 이상이다. 디보란은 약 1 sccm 내지 약 40 sccm, 바람직하게는 약 3 sccm 내지 약 30 sccm, 더욱 바람직하게는 약 5 sccm 내지 약 15 sccm의 범위의 유속을 가질 수 있다. 환원 가스는 약 1 slm 내지 약 20 slm, 바람직하게는 약 3 slm 내지 약 15 slm, 더욱 바람직하게는 약 5 slm 내지 약 10 slm의 범위의 유속을 갖는 수소를 함유한다.
본 발명의 상기 언급된 특징들이 상세히 이해될 수 있는 방식으로서, 위에서 간단히 요약된 본 발명의 보다 구체적인 설명이 실시예들 참조로 이뤄질 것이며, 실시예들의 일부는 첨부된 도면에서 도시된다. 그러나 첨부된 도면은 본 발명의 대표적인 실시예를 도시하는 것뿐이며, 따라서 본 발명의 범위를 제한하는 것으로 고려되어서는 안 되며, 본 발명은 다른 동등한 효과를 갖는 실시예들에게도 효력을 미칠 수 있을 것임에 주의해야 한다.
본 발명의 실시예들은 텅스텐-함유 재료들의 증착을 위한 개선된 방법을 제공한다. 본 방법은 현저하게 개선된 전도성, 표면 균일도 및 제조 레벨 수율(production level throughput)을 갖는 텅스텐-함유 재료들을 제공하기 위해서 사전-침수 및 사후-침수 공정 및 ALD 공정 동안 높은 수소 대 환원제 비율을 이용한다. 일 실시예에서, 기판 상에 텅스텐-함유 재료를 형성하기 위한 방법이 제공되며, 본 방법은 ALD 공정 동안 하부층(예컨대, 배리어층) 상에 텅스텐 핵생성층을 증착시키기 위해 기판을 텅스텐 프리커서 및 환원 가스에 연속하여 노출시키는 단계를 포함하며, 환원 가스는 약 40:1 이상의 수소/수소화물 유속 비율을 갖는다. 일부 실시예에서, 환원 가스의 수소/수소화물 유속 비율은 약 100:1, 약 500:1, 약 800:1, 약 1000:1, 약 1200:1, 또는 그 이상이다. 환원 가스는 실란, 디실란, 보란, 디보란, 포스핀, 이들의 유도체, 또는 이들의 조합물과 같은 수소화 화합물을 함유한다. 그 후, 텅스텐 벌크층은 텅스텐 핵생성층 위에 증착될 수 있다.
도 1은 본 발명의 일 실시예에 따른 개선된 텅스텐-함유 막을 형성하기 위한 예시적인 프로세스 시퀀스(100)를 도시한다. 우선 처리될 기판이 주기적 증착을 수행할 수 있는 프로세스 챔버 안으로 로딩되어 위치되고, 프로세스 상태가 조절된다(단계 110). 그 후 기판은 약 1초 내지 약 90초의 범위 동안 지속하는 침수 프로세스에 노출된다(단계 120). 적절한 캐리어 가스에 수반된 텅스텐-함유 프리커서의 펄스(pulse)가 프로세스 챔버 안으로 주입된다(단계 130). 그 후 가스의 펄스는 정화를 위해 또는 임의의 잔류하는 텅스텐-함유 프리커서 또는 부산물들을 제거하기 위해 프로세스 챔버 안으로 펄싱된다(단계 140). 다음에, 적절한 캐리어 가스에 수반된 환원제 또는 환원 화합물의 펄스가 프로세스 챔버로 도입된다(단계 150). 환원 가스는 침수 가스용으로 사용되는 가스와 동일한 환원 화합물을 함유할 수 있거나(단계 120), 대안으로, 환원 가스는 제품 처리량 요건 및 장치 어플리케이션에 따라서 상이한 환원 화합물을 함유할 수 있다. 퍼지 가스의 펄스는 그 후 프로세싱 챔버로 도입되어(단계160) 임의의 잔여 환원 화합물을 정화하거나 제거한다.
적절한 캐리어 가스들 또는 퍼지 가스들은 헬륨, 아르곤, 질소, 수소, 성형가스(forming gas), 또는 이들의 조합을 포함한다. 일반적으로, 보란 또는 실란 화합물들을 함유하는 환원 가스는 또한 수소를 함유하며, 아르곤 또는 질소를 추가로 함유할 수 있다.
단계 170을 참조하면, 각각의 증착 사이클(단계 30 내지 160) 후에, 특정 두께를 갖는 텅스텐 핵생성 층은 기판 표면에 증착될 것이다. 일반적으로, 각각의 ALD 사이클은 약 0.1Å 내지 약 5Å의 범위 이내 또는 이보다 작은 두께를 갖는 층을 형성한다. 특정 장치 요건에 따라서, 이후의 증착 사이클들은 원하는 두께를 갖는 텅스텐 핵생성 층을 증착하기 위해 필요할 수 있다. 이와 같이, 증착 사이클(단계 130 내지 160)은 텅스텐 막에 대한 원하는 두께가 얻어질 때까지 반복될 수 있다. 핵생성 층은 일반적으로 약 2Å 내지 약 200Å의 범위내의 두께로 증착된다. 그 후, 프로세스는 원하는 두께가 얻어질 때 단계180에 의해 지시될 때 정지된다.
적절한 텅스텐 함유 프리커서들 또는 화합물들은 육불화 텅스텐(WF6), 육염화 텅스텐 (WCl6), 텅스텐 카르보닐(W(CO)6), 비스(사이클로펜타디에닐)텅스텐 디클로라이드(Cp2WCl2), 메시틸렌 텅스텐 트리카르보닐(C9H12W(CO)3), 또는 이들의 유도체를 포함한다. 적절한 환원 화합물들 및 침수 화합물들은 실란 화합물들, 보란 화합물들, 포스핀 화합물들, 암모니아, 아민 화합물들, 수소, 이들의 유도체들, 이들의 혼합물들, 또는 이들의 조합물들을 포함한다. 실란 화합물들은 실란, 디실란, 트리실란, 테트라실란, 클로로실란, 디클로로실란, 테트라클로로실란, 헥사클로로디실란, 메틸실란, 또는 이들의 유도체들을 포함한다. 보란 화합물들은 보란, 디보란, 트리보란, 테트라보란, 펜타보란, 알킬보란들(가령, 트리에틸보란), 또는 이들의 유도체들을 포함한다. 여러 예들은 실란, 디실란, 디보란, 수소, 이들의 유도체들, 이들의 혼합물들, 또는 이들의 조합물들을 함유시키기 위해 침수 프로세스용 환원 가스를 제공한다.
기판 표면은 일반적으로 그 상부에 배치된 배리어 층을 포함한다. 텅스텐 핵생성 층은 배리어 층들 상부에 증착될 수 있다. 일 실시예에서, 기판 표면은 사전 침수 가스(pre-soak gas)에 노출되는 한편, 기판은 가열된다. 기판은 프로세스(100) 중에 단계 110 또는 120 동안 그리고 단계 130-170을 통하여 프로세스 온도로 가열된다. 기판은 사전 침수(pre-soak) 프로세스 동안 또는 그 이전에 약 100℃ 내지 약 600℃, 바람직하게는 약 200℃ 내지 약 600℃, 보다 바람직하게는 약 300℃ 내지 약 500℃, 더욱 바람직하게는, 약 350℃ 내지 약 420℃, 보다 바람직하게는, 약 375℃ 내지 약 500℃ 내에서 프로세스 온도로 가열된다. 일 예에서, 침수 프로세스의 온도는 이후의 기상 증착 프로세스 동안 유지된다. 침수 프로세스(단계 120)는 일반적으로 약 1 Torr 내지 약 150 Torr, 바람직하게는, 약 1 Torr 내지 약 100 Torr, 보다 바람직하게는, 약 10 Torr 내지 약 50 Torr, 더욱 바람직하게는, 약 20 Torr 내지 약 40 Torr의 범위내의 프로세스 챔버의 내부압력으로 수행된다. 일부 예에서, 압력은 약 5 Torr 내지 약 20 Torr 범위 내이다. 다른 예에서, 압력은 약 40 Torr이다. 침수 프로세스는 통상적으로 약 1초 내지 약 90초 의 범위 내의 시간기간 동안 기판 표면에 수행된다. 일 예에서, 침수 프로세스는 약 60초 미만 동안 지속될 것이다. 다른 예에서, 침수 프로세스는 약 30초 미만 동안 지속될 것이다. 다른 측면에서, 침수 프로세스는 약 10초 동안 지속될 것이다.
기판은 수소 가스 및 수소화물 가스(가령, 실란, 디실란, 디보란, 프로핀, 이들의 유도체들, 또는 이들의 조합물)를 함유하는 환원 가스 또는 침수 가스(가령, 사전 침수 가스)에 노출된다. 환원 가스는 프로세싱/증착 챔버 내부 또는 외부에서 혼합될 수 있고 다중 소스들로부터 유입될 수 있다. 일 예에서, 기판은 챔버에서 환원 또는 수소화물 화합물과 수소 혼합물(가령, H2 내의 5% B2H6)의 가스 흐름을 수소 가스의 가스 흐름과 함께 결합함으로써 형성되는 환원 가스에 노출된다. 다른 예에서, 환원 또는 수소화물 화합물 및 수소 혼합물(가령, H2 내의 5% B2H6)의 가스 흐름과 수소 가스의 가스 흐름이 챔버에 진입하기 전에 결합된다.
일 실시예에서, 환원 가스는 약 40:1 이상, 바람직하게는, 약 100:1 이상, 바람직하게는, 약 500:1 이상, 보다 바람직하게는, 약 800:1 이상, 보다 바람직하게는, 약 1000:1 이상의 수소/수소화물 유속비를 포함한다. 수소화물 화합물(가령, 디보란)은 약 1 sccm 내지 40 sccm, 바람직하게는, 약 3 sccm 내지 30 sccm, 보다 바람직하게는, 약 5 sccm 내지 15 sccm 범위내의 유속을 가질 수 있다. 수소화물 화합물은 캐리어 가스(가령, H2) 내에 존재할 수 있어서, 혼합물은 약 50 sccm 내지 500 sccm, 바람직하게는, 약 75 sccm 내지 400 sccm, 보다 바람직하게는, 약 100 sccm 내지 300 sccm 범위 내의 유속을 가질 수 있다. 수소 가스는 약 1 slm 내지 약 20 slm, 바람직하게는, 약 3 slm 내지 약 15 slm, 보다 바람직하게는, 약 5 slm 내지 약 10 slm 범위 내의 유속을 가진다. 수소/수소화물 유속비는 총 수소 유속을 총 수소화물 유속으로 나눔으로써 계산될 수 있다. 총 수소 유속은 임의의 수소 캐리어 가스의 유속과 임의의 독립된 수소 가스의 유속을 포함하는 수소의 모든 소스의 합을 포함한다.
기판상에 증착된 배리어 층은 이후의 핵생성 층에 대하여 컨디셔닝된 층을 형성하도록 제거되고/되거나 환원제를 흡수한다. 컨디셔닝된 배리어 층은 핵생성 층의 더 빠르고 더 부드러운 증착을 위해 제공된다. 일 실시예에서, 단계 120에서, 침수 프로세스에서 이용되는 환원제 또는 환원 화합물은 디보란 또는 실란이다. 일 예에서, 환원 가스는 약 1 sccm 내지 약 40 sccm 범위내의 유량을 갖는 수소화물 화합물(가령, B2H6 또는 SiH4)과 약 1 slm 내지 약 20 slm 범위내의 유속을 갖는 수소 가스를 포함한다. 다른 예에서, 환원 가스는 약 3 sccm 내지 약 30 sccm 범위내의 유량을 갖는 수소화물 화합물과 약 3 slm 내지약 15 slm 범위내의 유속을 갖는 수소 가스를 포함한다. 다른 예에서, 환원 가스는 약 5 sccm 내지 약 15 sccm 범위내의 유량을 갖는 수소화물 화합물과 약 5 slm 내지 약 10 slm 범위내의 유속을 갖는 수소 가스를 포함한다.
단계 130에서, 텅스텐 함유 프리커서는 육불화 텅스텐인 것이 바람직하며, 약 5 sccm 내지 약 200 sccm 범위 내의 유속으로 도입된다. 텅스텐 함유 프리커서 는, 가령 약 50 sccm 내지약 1,000 sccm 범위내의 유속을 갖는 아르곤과 같은 캐리어 가스와 함께 프로세스 챔버로 도입될 수 있다.
단계(150)에서, 환원 가스는 보란 또는 실란을 포함하며, 프로세스 챔버에 유입된다. 환원 가스는 약 1 sccm 내지 약 40 sccm내의 유속을 가진 수소화물 화합물(예컨대, B2H6 또는 SiH4)과 약 1 slm 내지 약 20 slm내의 유속을 가진 수소 가스를 포함한다. 다른 예에서, 환원 가스는 약 3 sccm 내지 약 30 sccm내의 유속을 가진 수소화물 화합물과 약 3 slm 내지 약 15 slm내의 유속을 가진 수소 가스를 포함한다. 또 다른 예에서, 환원 가스는 약 5 sccm 내지 약 15 sccm내의 유속을 가진 수소화물 화합물과 약 5 slm 내지 약 10 slm내의 유속을 가진 수소 가스를 포함한다.
단계들(140, 160)에서, 퍼지 가스, 바람직하게 아르곤 또는 질소의 펄스들은 전형적으로 약 50 sccm 내지 약 2,000 sccm, 바람직하게 약 500 sccm의 유속으로 유입된다. 단계들(140, 160)은 퍼지 가스의 연속 유동을 포함할 수 있는 반면에, 텅스텐-포함 화합물 및 환원 가스는 캐리어 가스의 안정 시스템(steady system)내로 펄싱된다. 각각의 처리 단계(단계들(130 내지 160))는 약 0.01 초 내지 약 10 초, 바람직하게 약 0.1 초 내지 약 1 초의 범위내에서 지속된다. 약 30 초 또는 약 60 초와 같은 긴 처리 단계들은 텅스텐 증착을 달성한다. 그러나, 수율은 감소된다. 특정 압력 및 시간은 실험을 통해 얻어진다. 일례에서, 300 mm 직경 기판 또는 웨이퍼는 유사한 수율을 유지하기 위하여 200 mm 직경 기판 또는 웨이퍼에 비 하여 약 2배의 유속을 필요로 한다.
여기에 기술된 실시예들동안 사용된 ALD 프로세스 챔버는 캘리포니아 산타 클라라에 위치한 어플라이드 머티어리얼스, 인코포레이티드로부터 이용가능하다. ALD 프로세스 챔버의 더 상세한 설명은 공동 양도된 미국특허 제6,878,206호와 제6,916,398호, 및 2002년 10월 25일에 출원되고 US 2003-0121608로 공개된 공동 양도된 미국특허 출원번호 제10/281,079호에 개시되어 있으며, 이 특허들은 여기에 참조로 통합된다. 소프트웨어 루틴들은 처리 방법 또는 시퀀스들을 초기화하기 위하여 실행된다. 소프트웨어 루틴들은, 실행시, 챔버 프로세스가 수행되도록 챔버 동작을 제어하는 특정 처리 컴퓨터로 범용 컴퓨터를 변환시킨다. 예컨대, 소프트웨어 루틴들은 본 발명의 실시예들에 따라 처리 시퀀스들을 실행하는 전자 제어 밸브들의 활성화를 정밀하게 제어하기 위하여 사용될 수 있다. 선택적으로, 소프트웨어 루틴들은 주문형 집적회로와 같은 하드웨어에서 수행되거나 또는 다른 타입의 하드웨어 구현으로 수행되거나 또는 소프트웨어 또는 하드웨어의 조합으로 수행된다.
도 2는 여기에 기술된 실시예에 따라 텅스텐-함유 재료를 형성하는 프로세스(200)를 기술한다. 단계(210)동안, 기판은 프로세스 챔버내로 로드된다. 기판은 보통 그 위에 증착된 배리어층을 포함하며, 단계(220)동안 사전-침수 프로세스 또는 제 1 침수 프로세스에 노출된다. 침수 프로세스는 환원제를 포함하는 환원 가스 또는 사전-침수 가스를 프로세스 챔버내로 전달하는 단계를 포함한다. 기판 표면은 환원된 배리어층과 같은 처리층을 형성한다. 단계(230)동안, 핵생성층(예 컨대, 텅스텐)은 기판상에 증착된다. 일반적으로, 핵생성층은 ALD, CVD 또는 펄스형(pulsed)-CVD를 포함하는 기상 증착 프로세스에 의하여 증착된다. 단계(240)에서, 핵생성층은 단계(220)에서 사용된 것과 동일한 또는 다른 환원제를 사용하는 제 2 침수 프로세스에 노출된다. 그 다음에, 벌크층(예컨대, 텅스텐)은 단계(250)동안 핵생성층상에 증착된다. 벌크층은 CVD 프로세스에 의하여 증착된다.
단계(210)동안, 기판은 프로세스 챔버내에 로딩되어 위치가 결정될 수 있다. 기판 표면 또는 하부층은 그 위에 증착된 배리어층, 접착층 또는 활성층을 포함할 수 있다. 배리어층들은 보통 금속재료 또는 금속 질화물 재료를 포함한다. 일 실시예에서, 하부층은 금속 티타늄, 티타늄 질화물, 금속 탄탈, 탄탈 질화물, 이의 실리사이드, 이의 유도체, 이의 합금 또는 이들의 조합을 포함하는 배리어층이다. 배리어층은 ALD 프로세스, CVD 프로세스 또는 물리 기상 증착(PVD) 프로세스에 의하여 증착될 수 있다. 다른 실시예에서, 하부층은 루테늄, 니켈, 코발트, 금속 텅스텐, 텅스텐 질화물, 이들의 규화물, 이들의 유도체, 이의 합금, 또는 이들의 조합물을 포함할 수 있는 핵생성/배리어층이다. 핵생성/배리어층은 ALD 프로세스, CVD 프로세스, PVD 프로세스 또는 이들의 조합에 의하여 증착될 수 있다.
배리어 층은 일반적으로, 이를 테면 약 30Å 내지 약 100Å의 범위 내에서, 적어도 약 30Å의 두께로 증착된다. 일 예에서, 배리어 층은 테트라키스(디메틸라미노) 티타늄(TDMAT) 및 암모니아를 이용한 CVD 프로세스에 의해 기판 표면에 증착된다. 다른 예에서, 배리어 층은 연속적으로 티타늄 테트라클로라이드(TiCl4) 및 암모니아를 부가하는 ALD 프로세스에 의해 기판 표면에 증착된다. 다른 예에서, 배리어 층은 질소 분위기에서 티타늄 소스로부터 스퍼터링하는 PVD 프로세스에 의해 기판 표면에 증착된다. 배리어 층은 연속한 제조 프로세스에 앞서 플라즈마 응축될 수도 있다. 다른 예에서, 기저층은 PVD 프로세스에 의해 증착된 텅스텐 및/또는 텅스텐 질화물층이다.
일 예에서, 기저층은 금속 티타늄, 티타늄 질화물, 금속 탄탈룸, 탄탈룸 질화물, 이들의 규화물들, 이들의 유도체들, 이들의 합금들 또는 이들의 조합들을 포함하는 배리어 층이며, ALD 프로세스, CVD 프로세스 또는 PVD 프로세스에 의해 증착될 수도 있다. 다른 예에서, 기저층은 루테늄, 니켈, 코발트, 금속 텅스텐, 텅스텐 질화물, 이들의 규화물들, 이들의 유도체들, 이들의 합금들, 또는 이들의 조합들을 포함하는 핵생성/배리어 층이며, ALD 프로세스 또는 PVD 프로세스에 의해 증착될 수도 있다.
단계(210) 동안 설정된, 온도 및 압력과 같은 프로세스 조건들은 단계(220) 동안 사용될 수도 있다. 일 예에서, 프로세스 챔버는, 프로세스(200)의 단계(210, 220, 230) 동안, 약 100℃ 내지 약 600℃, 바람직하게는 약 200℃ 내지 약 600℃, 더욱 바람직하게는 약 300℃ 내지 약 500℃, 더욱 바람직하게는 약 350℃ 내지 약 420℃, 더욱 바람직하게는 약 375℃ 내지 약 500℃ 범위 내의 온도에서 기판을 가열하도록 조정된다. 프로세스 챔버는 약 1 Torr 내지 약 150 Torr, 바람직하게는 약 1 Torr 내지 약 100 Torr, 더욱 바람직하게는 약 10 Torr 내지 약 50 Torr, 및 더욱 바람직하게는 약 20 Torr 내지 약 40 Torr의 범위 내의 내부 압력을 가질 수 도 있다. 일 예에서, 침수 프로세스 동안 사용된 프로세스 챔버는 배리어 층을 증착하기 위해 사용된 것과 동일한 프로세스 챔버일 수도 있다. 다른 예에서, 침수 프로세스 동안 사용된 프로세스 챔버는 단계(230) 동안 연속한 핵생성 층을 증착하기 위해 사용된다.
단계(220) 동안, 기판 표면은 환원제 및 선택적인 캐리어 가스를 포함하는 침수 프로세스에 노출된다. 환원제는 기판 표면, 소위 배리어 층에 흡착 및/또는 반응하여 처리된 표면을 형성한다. 처리된 표면은 전체적인 평탄함 및 더욱 균일한 텅스텐층으로 인해 신속한 증착 프로세스를 제공한다. 환원제들은 실란 화합물들, 보란 화합물들, 수소화인 화합물들, 암모니아, 아민 화합물들, 수소, 이들의 유도체들, 이들의 혼합물들, 또는 이들의 조합을 포함할 수도 있다. 실란 화합물들은 실란, 디실란, 트리실란, 테트라실란, 클로로실란, 디클로로실란, 테트라클로로실란, 헥사클로로실란, 메틸실란, 또는 이들의 유도체들을 포함하며, 보란 화합물들은 보란, 디보란, 트리보란, 테트라보란, 펜타보란, 알킬보란들(예를 들어, 트리틸보란), 또는 이들의 유도체들을 포함한다. 바람직한 환원제는 실란, 디실란, 디보란, 및 수소를 포함한다. 캐리어 가스는 환원제와 함께 병류(co-flow)될 수도 있다. 캐리어 가스들은 수소, 질소, 아르곤, 헬륨, 이들의 유도체들, 이들의 혼합물들, 또는 이들의 조합들을 포함한다.
기판은 약 1초 내지 9초, 바람직하게는 약 5초 내지 60초, 더욱 바람직하게는 약 10초 내지 30초, 더욱 바람직하게는 약 15초 내지 20초 내의 시간 기간 동안 단계(220)의 사전 침수 프로세스 동안 사전 침수 가스 또는 환원 가스에 노출될 수 도 있다. 기판 상에 노출된 배리어 층은 환원 및/또는 환원제를 흡착하여 연속한 핵생성 층을 위해 조정(conditioned)된 층을 형성한다. ALD 핵생성 프로세스에 앞서 실행된 일 예에서, 수소 중 5 vol% 디보란의 약 300 sccm이 약 15 Torr 및 약 300℃에서 약 30초 동안 기판으로 노출된다. ALD 핵생성 프로세스에 앞서 실행된 다른 예에서, 수소 중 5 vol% 디보란의 약 300 sccm 및 수소의 약 2 slm이 약 10 Torr 및 약 300℃에서 약 20초 동안 기판으로 노출된다. 펄스 CVD 핵생성 프로세스에 앞서 실행된 일 예에서, 수소 중 5 vol% 디보란의 약 200 sccm이 약 90 Torr 및 약 400℃에서 약 24초 동안 기판으로 노출된다.
단계(230) 동안, 핵생성 층은 기판 표면, 소위 처리된 배리어 층에 증착된다. 핵생성 층은 ALC, CVD, 및/또는 펄스 CVD를 포함하는 기상 증착 프로세스에 의해 증착될 수도 있다. 핵생성 층을 증착하기 위해 사용된 프로세스 챔버는 단계(220 및 240)에서 설명된 침수 프로세스에서 사용된 프로세스 챔버와 동일할 수도 있다. 핵생성 층은 텅스텐, 텅스텐 합금, 텅스텐-함유 재료(예를 들어, 텅스텐 붕소화물 또는 텅스텐 규화물) 및 이들의 조합을 포함할 수 있다. 핵생성 층은 통상적으로 약 2Å 내지 약 200Å 범위 내의 두께로 증착된다. 일례로, WF6 및 B2H6을 이용하는 ALD 프로세스는 약 12Å과 같이 약 2Å 내지 약 20Å 범위 내의 두께로 핵생성 층을 증착한다. 다른 예에서, WF6 및 SiH4를 이용하는 ALD 프로세스는 약 30Å과 같이 약 2Å 내지 약 50Å 범위 내의 두께로 핵생성 층을 증착한다. 다른 예에서, WF6 및 SiH4 또는 B2H6을 이용하는 펄스-CVD(예를 들어, 상호-플로우) 프 로세스는 50Å과 같이 약 2Å 내지 약 200Å 범위 내의 두께로 핵생성 층을 증착한다. 바람직하게, 프로세스(100) 동안 여기서 설명하는 것과 같은 ALD 프로세스가 핵생성 층을 증착하는데 사용될 수 있다.
단계(240)에서 기판 표면이 환원제 및 선택적인 캐리어 가스를 포함하는 사후-침수 프로세스 또는 2차 침수 프로세스에 노출된다. 환원제는 기판 표면, 즉 핵생성 층에 흡착 및/또는 작용하여 처리된 표면을 형성한다. 상술한 바와 같은 환원제 또는 환원 화합물은 실란 화합물, 보란 화합물, 포스핀 화합물, 암모니아, 아민 화합물, 수소, 이들의 유도체, 이들의 혼합물, 또는 이들의 조합을 포함할 수도 있다. 캐리어 가스는 환원제 또는 환원 화합물과 함께 상호 유동될 수 있고, 수소, 질소, 아르곤 또는 이들의 조합을 포함할 수 있다.
기판은 약 1초 내지 약 90초, 바람직하게는 약 5초 내지 약 60초, 더욱 바람직하게는 약 10초 내지 약 30초, 그리고 더 바람직하게는 약 15초 내지 약 20초 범위 내의 기간 동안 단계(240)의 사후-침수 프로세스에서 사후-침수 가스 또는 환원 가스에 노출될 수 있다. 한 실시예에서, 기판이 사후-침수 프로세스에서 약 100℃ 내지 약 600℃, 바람직하게는 약 200℃ 내지 약 600℃, 더욱 바람직하게는 약 300℃ 내지 약 500℃, 더 바람직하게는 약 350℃ 내지 약 420℃, 그리고 더 바람직하게는 약 375℃ 내지 약 500℃ 범위 내의 온도로 가열되는 동안 기판 표면은 사후-침수 가스에 노출될 수 있다. 약 1Torr 내지 약 150Torr, 바람직하게는 약 1Torr 내지 약 100Torr, 더욱 바람직하게는 약 10Torr 내지 약 50Torr, 그리고 더 바람직하게는 약 20Torr 내지 약 40Torr 범위 내의 내압을 갖는 프로세스 챔버가 유지된 다. 기판 상에 배치된 배리어 층은 환원되고 그리고/또는 환원제를 흡착하여 다음 벌크 층을 위해 조절된 층을 형성한다.
기판은 수소 가스 및 실란, 디실란, 보란, 디보란, 포스핀, 그 유도체, 또는 이들의 조합과 같은 수소화 화합물을 함유하는 환원 가스 또는 침수 가스(예를 들어, 사후-침수 가스)에 노출된다. 일 실시예에서, 환원 가스는 약 40:1 이상, 바람직하게는 약 100:1 이상, 더욱 바람직하게는 약 500:1 이상, 그리고 더 바람직하게는 약 800:1 이상의 수소/수소화물 유속비를 포함한다. 수소화 화합물(예를 들어, 디보란)은 약 1sccm 내지 약 40sccm, 바람직하게는 약 3sccm 내지 약 30sccm, 더욱 바람직하게는 약 5sccm 내지 약 15sccm 범위 내의 유속을 가질 수 있다. 환원 가스는 약 1slm 내지 약 20slm, 바람직하게는 약 3slm 내지 약 15slm, 더욱 바람직하게는 약 5slm 내지 약 10slm 범위 내의 유속을 갖는 수소 가스를 함유한다.
ALD 핵생성 프로세스 후에 수행되는 일례에서, 수소의 약 300sccm의 5vol% 디보란이 약 30Torr 및 약 300℃에서 약 30초 동안 기판에 노출될 수 있다. 펄스-CVD 핵생성 프로세스 후에 수행되는 다른 예에서, 수소의 약 200sccm의 5vol% 디보란 및 약 3slm의 수소가 약 15Torr 및 약 400℃에서 약 30초 동안 기판에 노출된다. 펄스-CVD 핵생성 프로세스 후에 수행되는 다른 예에서, 디보란의 약 300sccm의 5vol% 디보란이 약 90Torr 및 약 400℃에서 약 20초 동안 기판에 노출된다.
단계(250)에서 기판 표면에, 즉 처리된 핵생성 층 상에 벌크 층이 증착된다. 벌크 층은 CVD 또는 펄스-CVD를 포함하는 증착 프로세스에 의해 증착될 수 있다. 벌크 층을 증착하는데 사용되는 프로세스 챔버는 단계(240)에서 설명한 사후-침수 프로세스에서 사용된 프로세스 챔버일 수 있다. 벌크 층은 텅스텐, 텅스텐 합금, 텅스텐-함유 재료(예를 들어, 텅스텐 붕소화물, 텅스텐 규화물 또는 텅스텐 인화물) 및 이들의 조합을 포함할 수 있다. 벌크 층은 통상적으로 약 100Å 내지 약 10,000Å, 바람직하게는 약 1,000Å 내지 약 5,000Å 범위 내의 두께로 증착된다. 일례로, WF6 및 B2H6을 이용하는 CVD 프로세스가 처리된 핵생성 층 상에 벌크 층을 증착하는데 사용된다. 또다른 예에서, WF6 및 SIH4를 사용하는 CVD 프로세스는 처리된 핵생성층 위에 벌크층을 증착하기 위해 사용된다. 또다른 예에서, 텅스텐 소스를 사용하는 PVD 프로세스는 처리된 핵생성층 위에 벌크층을 증착하기 위해 사용된다. 텅스텐 핵생성층을 침수시키고 그 위에 텅스텐 벌크층을 증착하는 프로세스는 공통으로 양도된 USP 6,156,382에 추가로 개시되며, 상기 출원은 본 명세서에서 참조로서 통합된다.
선택적인 실시예에서, 텅스텐-함유 막은 하나 이상의 핵생성층을 증착함으로써 증착되며, 다수의 사이클의 기체 증착 프로세스를 포함할 수 있다. 일 예에서, 배리어층은 펄스-CVD 프로세스에 의해 제 1 핵생성층을 형성하기 전에 침수 프로세스에 노출될 수 있다. 프로세스 챔버는 예컨대 약 425℃와 같은 약 400℃ 내지 약 450℃의 범위 내의 온도로 가열되며, 약 15Torr와 같이 약 10Torr 내지 약 20Torr의 범위 내의 압력으로 유지된다. 펄스-CVD 프로세스는 약 1.5초와 같은 약 1 초 내지 약 3초의 시간 주기 동안 텅스텐-함유 화합물의 상호-유동(co-flow) 및 환원제에 기판을 증착시키는 것을 포함할 수 있다. 시약들의 유동은 약 60sccm과 같이 약 30sccm 내지 약 90sccm의 유속을 가지는 텅스텐-함유 화합물을 포함하며, 환원재는 약 30sccm과 같이 약 10sccm 내지 약 50sccm의 유속을 갖는다. 상호-유동은 중단되고 프로세스 챔버가 제거된다. 그후에 환원제는 약 1초와 같은 약 1초 내지 약 3초의 시간 주기 동안 챔버 내에 펄스로 제공된다. 환원제는 약 30sccm과 같은 약 10sccm 내지 약 50sccm의 유속을 가질 수 있다. 환원제의 유동은 중단되고 챔버는 약 0.5초 동안 제거된다. 제 1 핵생성층의 두께가 미리 결정된 두께로 형성될 때까지 사이클은 반복된다. 예를 들어, 프로세스는 약 30Å의 두께를 가지는 층을 형성하기 위해 3회 반복된다. 바람직한 텅스텐-함유 화합물은 텅스텐 헥사플루오라이드이며, 바람직한 환원재는 실란 및/또는 디보란이다. 환원제는 선택적으로 제 1 핵생성층의 증착 이전 또는 이후의 침수 프로세스 동안 사용된다.
제 2 핵생성층은 제 1 핵생성층 위에 형성될 수 있다. 프로세스 챔버는 동일한 온도에서 유지될 수 있지만, 프로세스 챔버의 압력은 보통 증가된다. 프로세스 챔버는 예컨대 약 425℃와 같은 약 400℃ 내지 약 450℃의 범위 내의 온도에서 유지되며, 압력은 약 30Torr과 같은 약 20Torr 내지 약 50Torr의 범위 내에 있다. CVD 프로세스는 예컨대 약 6초와 같은 4초 내지 8초의 시간 주기 동안 텅스텐-함유 화합물 및 환원제의 상호-유동에 기판을 노출시키는 것을 포함할 수 있다. 시약은 약 50sccm과 같이 약 30sccm 내지 약 70sccm의 유속을 가지는 텅스텐-함유 화합물을 포함하며, 환원재는 약 25sccm과 같이 약 10sccm 내지 약 50sccm의 유속을 갖는다. 제 2 핵생성층은 제 1 핵생성층 위에 증착되며, 약 50Å 내지 1000Å, 바람직하게 약 150Å 내지 약 300Å의 범위 내의 두께를 갖는다. 바람직한 텅스텐-함유 화합물은 텅스텐 헥사플로라이드이고, 바람직한 환원제는 실란 및/또는 디보란이다. 환원재는 선택적으로 제 2 핵생성층의 증착 이전 또는 이후의 침수 프로세스 동안 사용된다.
결국, 벌크층은 제 2 핵생성층 위에 증착될 수 있다. 벌크층은 종래의 CVD 프로세스에 의해 증착될 수 있다. 일 예에서, 프로세스 챔버는 예컨대 약 425℃와 같은 약 400℃ 내지 약 450℃의 범위 내의 온도로 유지되고, 압력은 약 200Torr과 같은 약 100Torr 내지 약 350Torr의 범위 내에 있다. 시약의 유동은 약 400sccm과 같이 약 200sccm 내지 약 800sccm의 유속을 가지는 텅스텐-함유 화합물을 포함하며, 환원재는 약 4000sccm과 같이 약 2000sccm 내지 약 8000sccm의 유속을 갖는다. 바람직한 텅스텐-함유 화합물은 텅스텐 헥사플로라이드이고, 바람직한 환원제는 실란 및/또는 디보란이다.
프로세스 통합
전술된 것과 같은 텅스텐 핵생성층은 우수한 막 특성들을 가지는 특징부들을 형성하기 위해 종래의 벌크 충전 기술들과 통합될 때 특히 유용하다. 통합 방식은 핵생성층을 증착하기 위한 ALD 또는 펄스-CVD 프로세스들을 포함할 수 있지만, 벌크층은 CVD 또는 PVD 프로세스들에 의해 증착될 수 있다. 상기 통합 방식을 수행할 수 있는 통합 프로세싱 시스템들은 California Santa Clala에 위치된 Applied Materials, Inc.에서 사용가능한 ENDURA®, ENDURA SL®, CENTURA® 및 PRODUCER® 처리 시스템을 포함한다. 상기 프로세싱 시스템들 중 몇몇은 핵생성층을 증착하기 위한 적어도 하나의 ALD 또는 펄스-CVD 챔버, 벌크 충전물을 증착하기 위한 적어도 하나의 CVD 챔버 및/또는 추가 물질들을 위한 적어도 하나의 PVD 챔버를 포함하도록 구성될 수 있다.
도 3a는 예시적인 멀티-챔버 프로세싱 시스템(300)의 개념적인 평면도(top-view)이다. 유사한 멀티-챔버 프로세싱 시스템이 공동 양수된 미국 특허 번호 제 5,186,718에 개시되며, 이는 여기에 참조로서 삽입된다. 본 시스템(300)은 일반적으로 상기 시스템(300) 내로 및 상기 시스템(300) 외부로의 기판들의 이송(transfer)을 위한 로드 록 챔버(load lock chamber)들(302, 304)을 포함한다. 일반적으로, 상기 시스템(300)이 진공 상태 하에 있기 때문에, 상기 로드 록 챔버들(302, 304)은 상기 시스템(300)으로 삽입되는 기판들을 "펌프 다운(pump down)"할 수 있다. 제 1 로봇(310)은 상기 로드 록 챔버들(302, 304), 및 제 1 세트의 하나 이상의 기판 프로세싱 챔버들(312, 314, 316, 318)(네 개는 미도시) 사이에서 기판들을 이송할 수 있다. 각각의 프로세싱 챔버(312, 314, 316, 318)는 주기적 층 증착(cyclical layer deposition), CVD, PVD, 식각(etch), 사전-세정(pre-clean), 탈기(de-gas), 배향(orientation), 및 다른 기판 프로세스들과 같은 다수의 기판 프로세싱 동작들을 수행하도록 공급될 수 있다. 또한 상기 제 1 로봇(310)은 기판들을 하나 이상의 이송 챔버들(322, 324)로/로부터 이송한다.
상기 이송 챔버들(322, 324)은 기판들이 상기 시스템(300) 내에서 이송되게 하면서 초고진공 상태들을 유지하는데 이용된다. 제 2 로봇(330)은 상기 이송 챔버들(322, 324)과 제 2 세트의 하나 이상의 프로세싱 챔버들(332, 334, 336, 338) 사이에서 상기 기판들을 이송할 수 있다. 프로세싱 챔버들(312, 314, 316, 318)과 유사하게, 상기 프로세싱 챔버들(332, 334, 336, 338)은, 예를 들어, 주기적 증착(cyclical deposition), CVD, PVD, 식각, 예비-세정, 탈기, 및 배향과 같은, 다양한 기판 프로세싱 동작들을 수행하도록 예비될 수 있다. 본 시스템(300)에 의해 수행되는 특정한 프로세스에 불필요하다면 상기 기판 프로세싱 챔버들(312, 314, 316, 318, 332, 334, 336, 338) 중 임의의 챔버가 상기 시스템(300)으로부터 제거될 수 있다.
하나의 배치로, 각 프로세싱 챔버(332 및 338)는 핵생성 층을 증착하는데 적응되는 주기적 증착 챔버일 수 있다; 각각의 프로세싱 챔버(334 및 336)는 벌크 층을 형성하도록 적응되는 주기적 증착 챔버, 화학 기상 증착(chemical vapor deposition) 챔버 또는 물리 기상 증착(physical vapor deposition) 챔버일 수 있다; 각 프로세싱 챔버(312 및 314)는 유전체 층(dielectric layer)을 증착하는데 적응되는 물리 기상 증착 챔버, 화학 기상 증착 챔버, 또는 주기적 증착 챔버일 수 있다; 그리고 각 프로세싱 챔버(316 및 318)는 상호접속(interconnect) 특징들을 위한 개구(aperture)들 또는 개구부(opening)들을 식각하기 위해 예비되는 식각 챔버일 수 있다. 본 시스템(300)의 이러한 하나의 특정한 배치는 본 발명을 설명하기 위해 제공되는 것이며 본 발명의 범위를 제한하는데 이용되어서는 안된다.
다른 집적 시스템은 단일 챔버 내에 벌크 충전(bulk fill) 증착과 더불어 핵생성 증착을 포함할 수 있다. 종래의 CVD 모드와 더불어 주기적 증착 모드 모두에서 동작하도록 구성되는 챔버가 이용될 수 있다. 그러한 챔버의 한 가지 예는 공동 양수된 미국 특허 번호 제 6,878,206호에 기재되며, 이는 여기에 참조로서 삽입 된다.
다른 집적 방식으로, 하나 이상의 벌크 층 증착 챔버들이 제 2 프로세싱 시스템 상에 집적되는 동안 하나 이상의 주기적 증착 핵생성 챔버들이 제 1 프로세싱 시스템 상에 집적된다. 본 구성에서, 기판들은 먼저 핵생성 층이 기판에 증착되는 제 1 시스템에서 처리된다. 이후에, 상기 기판들은 벌크 증착이 일어나는 제 2 프로세싱 시스템으로 이동된다.
도 3b는 예시적인 멀티-챔버 프로세싱 시스템(350)의 개념 평면도이다. 본 시스템(350)은 일반적으로 상기 시스템(350)으로 및 상기 시스템(350)으로부터의 기판들의 이송을 위한 로드 록 챔버들(352, 354)을 포함한다. 일반적으로, 상기 시스템(350)이 진공 상태 하에 있기 때문에, 상기 로드 록 챔버들(352, 354)은 상기 시스템(350)으로 삽입되는 기판들을 "펌프 다운(pump down)"할 수 있다. 로봇(360)은 상기 로드 록 챔버들(352, 354), 및 기판 프로세싱 챔버들(362, 364, 366, 368, 370 및 372) 사이에서 상기 기판들을 이송할 수 있다. 각각의 프로세싱 챔버(362, 364, 366, 368, 370 및 372)은 주기적 층 증착, CVD, PVD, 식각, 예비-세정, 탈기, 가열(heat), 배향 및 다른 기판 프로세스들과 같은 다수의 기판 프로세싱 동작들을 수행하도록 준비될 수 있다. 또한 상기 로봇(360)은 이송 챔버(356)로/로부터 기판들을 이송한다. 본 시스템(350)에 의해 수행되는 특정 프로세스에 대해 불필요하다면 상기 기판 프로세싱 챔버들(362, 364, 366, 368, 370 및 372) 중 임의의 챔버가 상기 시스템(350)에서 제거될 수 있다.
한 가지 배치로, 각 프로세싱 챔버(364 및 370)는 핵생성 층을 증착하도록 적응되는 주기적 증착 챔버일 수 있다; 각 프로세싱 챔버(366 및 368)는 벌크 충전 증착 층을 형성하도록 적응되는 주기적 증착 챔버, 화학 기상 증착 챔버 또는 물리 기상 증착 챔버일 수 있다. 본 시스템(350)의 이러한 한 가지 특정한 배치는 본 발명을 설명하기 위해 제공되는 것이며 본 발명의 범위를 제한하는데 이용되어서는 안된다.
대안적으로, 하나의 챔버에 다수의 스테이션들을 갖는 캐로셀(carousel) 타입 배치(batch) 프로세스 시스템은 하나의 프로세스 시스템 내에 핵생성 및 벌크층 증착을 통합하도록 적응될 수 있다. 이러한 프로세스 시스템에서, 퍼지 가스 커튼(예를 들면, 아르곤 가스 커튼)이 각 스테이션에서 마이크로 또는 미니 환경을 생성하는 각 스테이션 사이에서 설정될 수 있다. 기판들은 시스템 내로 순차적으로 로딩되고, 그리고 나서 각 스테이션을 통해 순환되며 각 스테이션에서 적어도 부분적으로 프로세스된다. 예를 들어, 기판은 제1 스테이션에서 주기적 증착 핵생성 단계에 노출되고, 그리고 나서 뒤이은 각 스테이션에서 부분적인 벌크 충전 CVD 단계들에 노출될 수 있다. 대안적으로, 핵생성은 둘 이상의 스테이션에서 발생하고, 벌크 필은 하나 이상의 스테이션에서 발생할 수 있다. 또한, 핵생성 층 및 벌크층은 개별적인 캐로셀 타입 시스템들에서 증착될 수 있다. 다른 양상에서, 침수 및 핵생성 단계들은 하나의 캐로셀에서 완료되고, 벌크 단계들은 다른 캐로셀에서 이뤄지며, 이러한 2개의 캐로셀들 모두는 동일한 프로세스 시스템의 일부이다. 각 플래튼(platen)은 온도 제어되어 각 스테이션에서 적어도 일부 프로세스 제어를 제공할 수 있다. 그러나, 프로세스 압력은 일반적으로 스테이션들 사이에서 동일한 데, 왜냐하면 스테이션들이 하나의 챔버 내에서 하우징되기 때문이다. 일부 압력 제어가 불활성 가스 커튼으로 인해 각 스테이션에서 존재하는 마이크로 또는 미니 환경에서 제공될 수 있다.
통합 방식과 무관하게, 핵생성 층은 대략 2Å 내지 대략 200Å사이의 범위(예를 들면, 대략 5 Å 내지 100Å 사이) 내의 두께를 가지며, 벌크층은 대략 100 Å 내지 10,000Å 사이의 범위(예를 들면, 대략 1,000Å 내지 5,000Å 사이) 내의 두께를 갖는다. 그러나, 이러한 막들의 두께는 주어진 애플리케이션의 특징 사이즈들 및 종횡비에 따라 가변할 수 있다. 따라서, 막들은 주어진 애플리케이션의 기하학적 구조들을 수용하도록 적절한 크기를 가질 수 있다. 여기서 제시된 실시예들에 따라 증착되는 핵생성 층으로부터 이득을 얻을 수 있는 예시적인 기하학적 구조들 및 애플리케이션들이 다음에 제시된다. 다음 설명은 단지 예시일 뿐이며, 본 발명을 제한하고자 하는 의도는 아니다.
도 4a-4c는 상기 프로세스의 일 실시예가 비아(via)(460)를 채우기 위해서 사용되는 반도체 특징의 단면도를 보여준다. 도 4a에서, 기판(450)은 적어도 하나의 비아(460)를 포함한다. 배리어층(451)은 비아(460)를 갖는 기판(450)에 대한 ALD, CVD, 또는 PVD 기술들에 의해 증착될 수 있다. 배리어층(451)은 티타늄, 티타늄 질화물, 탄탈, 탄탈 질화물, 텅스텐, 텅스텐 질화물, 이들의 보라이드, 이들의 실리사이드, 이들의 합금, 또는 이들의 조합을 포함할 수 있다. 도 4b에 제시된 바와 같이, 텅스텐층(452)의 핵생성에 앞서, 침수 프로세스가 배리어층(451)에 대해 실행된다. 침수 프로세스는 비아(460) 내의 배리어층(451)의 측벽들이 비 아(460) 외부의 배리어층(451)과 거의 동일한 비율로 텅스텐층(452)에 부착되고 성장하도록 한다. 침수 프로세스가 생략되면, 측벽들 상의 텅스텐층(452)의 성장은 비아(460) 외부의 텅스텐 층(452)의 성장에 대해 일정하지 않게 된다. 텅스텐층(452)의 핵생성이 증착되면, 제2 침수 또는 사후 침수 프로세스가 텅스텐층(452)을 다루기 위해서 수행된다. 사후 침수 프로세스는 도 4c에 제시된 바와 같이 비아(460)를 채우는 동안 텅스텐층(452)이 보다 연성으로 성장을 계속하도록 하여 준다. 일 예에서, ALD 프로세스는 텅스텐 벌크층을 증착하기 위해서 텅스텐 핵생성층 증착 후에 계속된다. 다른 예에서, ALD 프로세스가 텅스텐 핵생성층을 증착하기 위해서 사용되고, CVD 프로세스가 텅스텐 벌크층을 증착하는데 사용된다. 다른 예에서, ALD 프로세스가 텅스텐 핵생성층을 증착하기 위해서 사용되고, PVD 프로세스가 텅스텐 벌크층을 증착하는데 사용된다. 다른 예에서, 펄스형-CVD 프로세스가 텅스텐 핵생성층을 증착하는데 사용되고, 기존의 CVD 프로세스가 텅스텐 벌크층을 증착하는데 사용된다. 다른 예에서, 펄스형-CVD 프로세스가 텅스텐 핵생성층을 증착하는데 사용되고 PVD 프로세스가 텅스텐 벌크층을 증착하는데 사용된다.
텅스텐 금속 게이트
도 5는 여기서 제시되는 실시예들에 따라 증착되는 핵생성층을 이용하는 예시적인 금속 산화물 게이트 장치(400)에 대한 단면도이다. 장치(400)는 일반적으로 스페이서들(416)에 의해 둘러싸인 노출된 게이트(410) 및 기판 표면(412) 내에 형성된 실리콘 소스/드레인 영역들(420)을 포함한다. 스페이서들(416)은 일반적으로 산화물(예를 들면, 이산화 규소, 실리콘 질화물과 같은 질화물)을 포함한다.
금속 게이트(410)는 산화층(411), 폴리실리콘층(414), 티타늄 질화물 배리어층(415), 및 텅스텐층(422)을 포함한다. 산화층(411)은 폴리실리콘 층(414)으로부터 기판(412)을 분리한다. 산화층(411) 및 폴리실리콘 층(414)은 기존의 증착 기법들을 이용하여 증착된다.
티타늄 질화 배리어 층(415)은 폴리실리콘 층(414) 위에 증착된다. 티타늄 질화 배리어 층(415)은 PVD 티타늄 층을 증착하고 그 다음에 CVD 티타늄 질화 층을 증착함으로써 형성되는 2중층(bi-layer)일 수 있다. 티타늄 질화 배리어 층(415)은 또한 출원번호가 10/032,293이고, 출원일이 2001년 12월 21일이고, US 2003-0116087로서 공개되었으며, 여기에 참조로서 통합된 미국 출원에서 도시되고 설명되는 프로세스와 같은, 순환적인 증착 기법을 이용하여 증착될 수 있다.
침수(soak) 프로세스는 기판 표면에 대하여 제공된다. 침수는 적어도 하나의 캐리어 가스와 함께 실란(silane) 화합물 또는 보란(borane) 화합물을 포함한다. 선호되는 실란 화합물은 실란이고, 선호되는 보란 화합물은 디보란(diborane)이며, 선호되는 캐리어 가스는 수소, 질소 및/또는 아르곤이다. 일 양상에서, 실란은 약 25 sccm에서 약 500 sccm의 범위 내에 있는 유속을 가지며, 수소는 약 200 sccm에서 약 700 sccm의 범위 내에 있는 유속을 가진다. 침수 프로세스는 약 100℃에서 약 500℃의 범위 내에 있는 온도, 바람직하게는 약 300℃의 온도, 약 1 Torr에서 약 150 Torr의 범위 내에 있는 압력, 바람직하게는 약 30 Torr에서 약 120 Torr의 범위 내에 있는 압력에서 약 1초에서 약 90초 사이에 있는 시간 주기 동안 수행된다. 다른 양상에서, 디보란은 약 25 sccm에서 약 500 sccm의 범위 내 에 있는 유속을 가지며, 수소 및/또는 아르곤은 약 200 sccm에서 약 700 sccm의 범위 내에 있는 유속을 가진다. 침수 프로세스는 약 100℃에서 약 500℃의 범위 내에 있는 온도, 바람직하게는 약 300℃의 온도, 약 1 Torr에서 약 150 Torr의 범위 내에 있는 압력, 바람직하게는 약 5 Torr에서 약 50 Torr의 범위 내에 있는 압력에서 약 1초에서 약 90초 사이에 있는, 바람직하게는 약 60초보다 적은 시간 주기로 수행된다.
일례에서, 핵생성(nucleation) 층(417)은 침수 프로세스를 통해 기판 표면을 처리한 후에 배리어 층(415)을 통해 순환적으로 증착된다. 일 양상에서, 핵생성 층(417)은 텅스텐 헥사플루오라이드(hexafluoride) 및 디보란의 교번(alternating) 펄스들을 사용하여 순환적으로 증착된다. 텅스텐 헥사플루오라이드는 챔버로 펄스될 수 있으며 기판은 약 0. 3초 동안 약 1 sccm에서 약 100sccm의 범위, 바람직하게는 약 5 sccm에서 약 50 sccm의 범위 내에 있는 레이트로 노출된다. 아르곤과 같은 캐리어 가스는 약 100 sccm에서 약 1,000 sccm의 범위, 바람직하게는 약 100 sccm에서 약 500 sccm의 범위 내에 있는 유속에서 텅스텐 헥사플루오라이드와 함께 제공된다. 디보란(예를 들어, H2에 있는 5%)은 챔버로 펄스될 수 있으며, 기판은 약 0. 3초 동안 약 50 sccm에서 약 1,000 sccm의 범위, 바람직하게는 약 100 sccm에서 약 400 sccm의 범위 내에 있는 레이트로 노출된다. 수소 가스는 챔버로 펄스될 수 있으며, 기판은 약 1 slm에서 약 20 slm의 범위, 바람직하게는 약 3 slm에서 약 15 slm의 범위, 더욱 바람직하게는 약 5 slm에서 약 10 slm의 범위 내에 있는 레이트로 노출된다. 기판은 약 100℃에서 약 400℃ 사이의 온도, 바람직하게는 약 300℃의 온도와 약 1 Torr에서 약 120 Torr 사이의 챔버 압력, 바람직하게는 약 5 Torr에서 약 50 Torr 사이의 챔버 압력으로 유지될 수 있다. 텅스텐 헥사플루오라이드 및 디보란의 펄스들 사이에서, 수소는 프로세싱 챔버로부터 임의의 반응성(reactive) 화합물들을 일소하거나 또는 제거하기 위해 약 0. 5초 동안 펄스된다.
다른 예로서, 핵생성 층(417)은 텅스텐 헥사플루오라이드 및 실란의 교번 펄스들을 사용하여 순환적으로 증착된다. 텅스텐 헥사플루오라이드는 위에서 설명된 바와 같이 약 0. 5초 동안 아르곤과 함께 펄스화된다. 실란은 약 0. 5초 동안 약 5 sccm과 약 50 sccm 사이와 같은 약 1 sccm에서 약 100 sccm 사이에 있는 유속으로 펄스된다. 수소와 같은 캐리어 가스는 약 100 sccm과 약 500 sccm 사이와 같은 약 100 sccm에서 약 1,000 sccm 사이에 있는 유속으로 실란과 함께 제공된다. 수소 가스는 챔버로 펄스될 수 있으며, 기판은 약 1 slm에서 약 20 slm의 범위, 바람직하게는 약 3 slm에서 약 15slm의 범위, 더욱 바람직하게는 약 5slm에서 10 slm의 범위 내에 있는 유속으로 노출된다. 기판은 약 100℃에서 약 400℃ 사이의 온도, 바람직하게는 약 300℃의 온도와 약 1 Torr에서 약 30 Torr 사이의 챔버 압력으로 유지될 수 있다.
다른 예에서, 핵생성 층(417)은 침수 프로세스를 통해 기판 표면을 처리한 후에 배리어 층(415)에 대한 펄스형-CVD 프로세스에 의해 증착된다. 펄스-CVD 프로세스는 약 300℃에서 약 500℃ 사이의 범위, 바람직하게는 약 400℃에서 약 450 ℃ 사이의 범위 내에 있는 온도에서 상호-유동(co-flowing) WF6 및 B2H6 또는 WF6 및 SiH4를 포함한다. 펄스형-CVD 프로세스는 약 0. 5초에서 약 3초 사이에 있는, 바람직하게는 약 1. 5초인 시간 주기에서 상호-유동 프리커서들을 처리한다.
제 2 침수 프로세스 또는 사후 침수 프로세스는 기판 표면에 대하여 제공된다. 침수 프로세스는 적어도 하나의 캐리어 가스와 함께 실란 화합물 또는 보란 화합물과 같은 환원제(reductant)를 포함한다. 바람직하게는, 환원제는 디보란이고 바람직한 캐리어 가스는 수소, 질소 및/또는 아르곤이다. 일례에서, 수소에 5 vol%의 디보란을 포함하는 환원 가스는 약 100 sccm에서 약 500 sccm의 범위 내에 있는, 바람직하게는 약 300 sccm인 유속을 가진다. 몇몇 예들에서, 기판은 환원 가스와 함께 약 1 slm에서 약 20 slm의 범위, 바람직하게는 약 3 slm에서 약 15slm의 범위, 더욱 바람직하게는 약 5slm에서 10 slm의 범위 내에 있는 유속으로 수소 가스에 노출될 수 있다. 침수 프로세스는 약 100℃에서 약 500℃의 범위 내에 있는 온도, 바람직하게는 약 300℃의 온도와 약 1 Torr에서 약 120 Torr의 범위 내에 있는 압력, 바람직하게는 약 10 Torr에서 약 50 Torr의 범위 내에 있는 압력에서 약 1초에서 약 90초 사이에 있는, 바람직하게는 약 30초보다 적은 시간 주기로 수행된다.
침수 프로세스들을 이용하여 형성된 핵생성 층은 침수 프로세스없이 형성된 핵생성 층에 비해 장점들을 가진다. 텅스텐 막은 집적 막에 대하여 더 적은 응력(stress)을 보여줄 뿐만 아니라 핵생성 층의 인터페이스에서 더 적은 불소 성분 을 보여준다. 또한, 사후 침수 처리로 증착된 핵생성 층은 더 큰 균일성 커버리지를 가지며 줄어든 인큐베이션 주기로 인하여 더 빨리 증착된다.
그 다음에 텅스텐 벌크 필(422)이 처리된 텅스텐 핵생성 층(417) 위에 증착된다. 기존의 화학적 증기 증착 또는 물리적 증기 증착과 같은, 임의의 금속 증착 프로세스가 이용될 수 있더라도, 텅스텐 벌크 필(422)은 위에서 설명된 바와 같이 텅스텐-함유 화합물 및 환원 화합물을 교번적으로 흡수함으로써 증착될 수 있다. 순환적 증착 기법을 이용하는 텅스텐 증착에 대한 보다 상세한 설명은 여기에 참조로서 통합된 미국 특허 제6,878,206호 및 출원번호가 10/082,048이고, 출원일이 2002년 2월 20일이고, US 2003-0157760으로 공개된 미국 특허 출원에서 찾을 수 있다. 침수 프로세스, 사후 텅스텐 벌크 충전을 사용함이 없이 증착된 텅스텐 막들과 비교하여, 침수 프로세스를 사용하여 증착된 텅스텐 막의 표면에는 분화구(volcano)들이 거의 나타나지 않는다.
증착 이후에, 결과 구조물(400)의 최상부 부분은 평탄화될 수 있다. 화학적 기계적 폴리싱(CMP) 장치가 사용될 수 있고, 예를 들어, 캘리포니아의 산타클라라에 위치한 어플라이드 머티리얼즈사로부터 구입가능한 MIRRA® 시스템이 사용될 수 있다. 텅스텐 벌크 충전부(tungsten bulk fill)(422)의 부분들은 완전히 평평한 표면을 남겨둔 채 구조물의 최상부로부터 제거된다. 선택적으로, 구조물의 중간 표면들은 전술한 후속 층들의 증착물들 사이에 평탄화될 수 있다.
도 6은 트렌치 커패시터(530)의 최상부 표면에 인접하여 배치된 트랜지스터(520)를 갖는 종래의 DRAM 장치의 단면도이다. DRAM 장치(510)에 대한 액세스 트랜지스터(520)는 트렌치 커패시터(530)의 최상부 부분에 인접하여 배치된다. 바람직하게, 액세스 트랜지스터(520)는 소스 영역(522), 게이트 영역(524) 및 드레인 영역(526)을 갖는 n-p-n 트랜지스터를 포함한다. 게이트 영역(524)은 P+ 기판 위에 배치된 P-도핑 실리콘 에피-층이다. 액세스 트랜지스터(520)의 소스 영역(522)은 게이트 영역(524)의 제 1 측면 상에 배치된 N+ 도핑 재료이고, 드레인 영역(526)은 소스 영역(522)과 반대로 게이트 영역(524)의 제 2 측면 상에 배치된 N+ 도핑 재료이다.
소스 및 드레인 영역들(522, 524)은 텅스텐 플러그(560)에 연결될 수 있다. 각각의 텅스텐 플러그(560)는 티타늄 라이너(562), 텅스텐 핵생성 층(564), 및 벌크 텅스텐 충전부(566)를 포함한다. 티타늄 라이너(562)는 PVD 티타늄 및 그 다음의 CVD 티타늄 질화물을 포함하는 2층 스택일 수 있다. 대안적으로, 티타늄 라이너(562)는 ALD 증착 티타늄 및 그 다음의 ALD 증착 티타늄 질화물을 포함하는 2층 스택일 수 있다. 텅스텐 핵생성 층(564)은 전술한 바와 같이 침수 프로세스(soak process)와 ALD 프로세스 또는 침수 프로세스와 펄스형-CVD 프로세스를 사용하여 형성된다. 텅스텐 벌크 충전부(566)는 사후 침수 프로세스 및 CVD를 포함한 임의의 종래 증착 기술들을 사용하여 증착될 수 있다.
트렌치 커패시터(530)는 일반적으로 제 1 전극(532), 제 2 전극(534) 및 그 사이에 배치된 유전 재료(536)를 포함한다. P+ 기판은 트렌치 커패시터(530)의 제 1 전극(532)으로서 기능하고, 접지 연결(541)에 접속된다. 트렌치(538)는 P+ 기판에 형성되고, 트렌치 커패시터(530)의 제 2 전극(534)으로서 기능하는 과도 핑(heavily doped) N+ 폴리실리콘으로 충전(fill)된다. 유전 재료(536)는 제 1 전극(532)(즉, P+ 기판)과 제 2 전극(534)(즉, N+ 폴리실리콘) 사이에 배치된다.
트렌치 커패시터(530)는 또한 유전 재료(536)와 제 1 전극(532) 사이에 배치된 제 1 텅스텐 질화물 배리어 층(540)을 포함한다. 바람직하게, 제 2 텅스텐 질화물 배리어 층(542)은 유전 재료(536)와 제 2 전극(534) 사이에 배치된다. 대안적으로, 배리어 층들(540, 542)은 W/WN와 같은 결합 막이다.
비록 전술한 DRAM 장치는 n-p-n 트랜지스터를 이용하지만, 커패시터의 제 1 전극으로서의 P+ 기판, 커패시터의 제 2 전극으로서의 N+ 폴리실리콘, 다른 트랜지스터 설계들 및 전극 재료들이 DRAM 장치들을 형성하기 위하여 본 발명에 의해 고려된다. 부가적으로, 예를 들어, 크라운 커패시터(crown capacitor)들과 같은 다른 장치들이 본 명세서에서 기술된 실시예들에 의해 예상된다.
본 명세서에서 사용되는 "기판 표면" 또는 "표면"은 임의의 기판 표면 또는 제조 프로세스 동안 막 프로세싱이 수행되는 기판 상에 형성된 재료 표면을 언급한다. 예를 들어, 그 위에서 프로세싱이 수행될 수 있는 기판 표면은 단결정, 다결정 또는 비정질 실리콘, 스트레인드 실리콘(strained silicon), 실리콘-온-인슐레이터(SOI), 도핑된 실리콘, 실리콘 게르마늄, 게르마늄, 갈륨 비소, 글래스, 사파이어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 및/또는 SiOxCy와 같은 탄소 도핑 실리콘 산화물, 예를 들어, 캘리포니아의 산타클라라에 위치한 어플라이드 머티리얼즈사로부터 구입가능한 BLACK DIAMOND® 낮은 k 유전물과 같은 재료들을 포함한다. 기판들은 200 mm 또는 300 mm 직경 웨이퍼와 같이 다양한 수치를 가질 수 있고, 직사각형 또는 정사각형 페인(pane)들을 가질 수 있다. 달리 지시되지 않으면, 본 명세서에서 기술된 실시예들 및 예시들은 바람직하게 200 mm 직경 또는 300 mm 직경을 가진 기판들, 보다 바람직하게는 300 mm 직경을 가진 기판들 상에서 수행된다. 본 명세서에서 기술된 프로세스들의 실시예들은 금속 텅스텐, 텅스텐 질화물, 텅스텐 붕화물, 텅스텐 실리사이드, 그들의 유도체들, 그들의 합금, 및 다른 텅스텐-함유 재료들을 다수의 기판들 및 표면들 상에, 특히 배리어 층들, 접착 층들 또는 전도 층들 상에 증착한다. 본 발명의 실시예들이 유용할 수 있는 기판들은 결정질 실리콘(예를 들어, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드 실리콘, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는도핑되지 않은 실리콘 웨이퍼들, 및 패터닝된 또는 패터닝되지 않은 웨이퍼들과 같은 반도체 웨이퍼들을 포함하나, 이에만 제한되는 것은 아니다. 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화, 어닐링 및/또는 베이킹하기 위하여 전처리 프로세스에 노출될 수 있다.
본 명세서에서 사용되는 "원자 층 증착(atomic layer deposition)" 또는 "주기적 증착(cyclical depostion)"은 기판 표면 상에 재료의 층을 증착하기 위하여 2 이상의 반응성 화합물들의 순차적 도입을 언급한다. 2, 3 또는 그 이상의 반응성 화합물들은 교대로 프로세스 챔버의 반응 존 내로 도입될 수 있다. 보통, 각각의 반응성 화합물은 각각의 화합물이 기판 표면 상에 부착 및/또는 반응하도록 하는 시간 지연만큼 분리된다. 일 태양에서, 제 1 프리커서 또는 화합물 A는 반응 존으 로 펄싱되고(pulsed) 그 다음 제 1 시간 지연이 수반된다. 그 다음 제 2 프리커서 또는 화합물 B가 반응 존으로 펄싱되고 그 다음 제 2 시간 지연이 수반된다. 각각의 시간 지연 동안, 질소와 같은 퍼지 가스가 프로세스 챔버에 도입되어, 반응 존을 정화하거나 그렇지 않으면 반응 존으로부터 임의의 잔여 반응성 화합물 또는 부산물들을 제거한다. 대안적으로, 퍼지 가스는 증착 프로세스 동안 내내 계속적으로 흐를 수 있고, 그 결과 퍼지 가스만이 반응성 화합물들의 펄스들 간의 시간 지연 동안 흐른다. 대안적인 실시예들에서, 퍼지 가스는 또한 수소, 다이보레인 또는 실란과 같은 환원제일 수 있다. 반응성 화합물들은 대안적으로 목적하는 막 또는 막 두께가 기판 표면 상에 형성될 때까지 교대로 펄싱된다. 다른 시나리오에 따르면, 펄싱 화합물 A, 퍼지 가스, 펄싱 화합물 B 및 퍼지 가스의 ALD 프로세스는 한 주기이다. 한 주기는 화합물 A 또는 화합물 B로 시작할 수 있고, 목적하는 두께를 가진 막을 얻을 때까지 그 주기의 각각의 순서를 계속할 수 있다. 또 다른 실시예에서, 화합물 A를 함유하는 제 1 프리커서, 화합물 B를 포함하는 제 2 프리커서, 및 화합물 C를 포함하는 제 3 프리커서는 각각 별개로 그리고 교대로 프로세스 챔버 안으로 펄싱된다. 대안적으로, 화합물 A를 함유하는 제 1 프리커서, 화합물 B를 포함하는 제 2 프리커서는 각각 별개로 그리고 교대로 프로세스 챔버 안으로 펄싱되는 동안, 화합물 C를 함유하는 제 3 프리커서는 연속적으로 프로세스 챔버 안으로 흘러 들어온다. 대안적으로, 제 1 프리커서의 펄스는 시간적으로 제 2 프리커서의 펄스와 겹칠 수 있는 반면, 제 3 프리커서는 제 1 프리커서나 제 2 프리커서의 펄스와 시간적으로 중첩되지 않는다.
여기서 사용된 "펄스(pulse)"는 프로세스 챔버의 반응 존(zone) 내로 간헐적으로 또는 비-연속적으로 도입되는 특정 화합물(compound)의 양을 가리키는 것으로 의도된다. 각 펄스 내의 특정 화합물의 양은 펄스의 지속시간에 따라 시간에 따라 변화될 수 있다. 각 펄스의 지속시간은 예를들어 사용된 프로세스 챔버의 부피 용량, 이에 결합된 진공 시스템, 및 특정 화합물 자체의 휘발성(volatility)/반응성(reactivity)과 같은 인자들의 갯수에 따라 가변적이다. 여기서 사용된 "절반-반응(half-reaction)"은 퍼지(purge) 단계에 뒤따른 프리커서의 펄스를 나타낸다.
실시예:
다음 실시예들은 표면 상에 증착된 베리어(barrier) 층을 포함하는 기판에 대해 수행되었다. 베리어 층은 300mm 기판 표면 상에 PVD 프로세스에 의해 티타늄 층을 약 100Å 두께로 먼저 증착함에 의해 형성되었다. 다음, 질화 티타늄 층이 TDMAT 프리커서를 이용하고 뒤이어 H2/N2 플라즈마 처리를 거치는 CVD 프로세스에 의해 티타늄 층 상에 증착되었다. 질화 티타늄 층은 약 50Å 의 두께로 증착되었다. 대부분의 다음 실시예들에서, 다이보레인(diborane) 가스는 통상 수소(H2)를 캐리어 가스로서 함유한다. 그러나, 캐리어 가스는 질소(N2), 또는 아르곤일 수 있다.
실시예1: 기판이 증착 챔버에 배치되었고 다음과 같은 조건하에서 침수 프로세스에 노출되었다:
시약(reagent): B2H6;
압력: 약 15 Torr;
온도: 약 375℃;
유속: H2 내에서 5% B2H6 약 200 sccm과 H2 약 8,000 sccm;
수소/수소화물(hydride) 유속 비: 약 820:1; 및
지속시간: 약 10초.
다음, 텅스텐 핵생성 층이 이전 침수 프로세스로부터 증착 챔버 내의 베리어 층 상에 펄스(pulsed)-CVD 프로세스를 이용하여 다음 조건 하에서 형성되었다:
시약(reagent): WF6, B2H6, 및 H2;
압력: 약 15 Torr;
온도: 약 375℃;
유속: 약 60 sccm의 WF6,H2 내에서 5% B2H6 약 100 sccm, 및 H2 약 6,000 sccm;
수소/수소화물(hydride) 유속 비: 약 1,220:1; 및
지속시간: 약 1.5초.
펄스-CVD 프로세스는 핵생성층이 약 25Å 의 두께를 가질 때까지 계속되었다. 그 이후, 기판이 증착 챔버 내에 유지되었고 다음 조건 하의 제2 침수 프로세스에 노출되었다:
시약: B2H6 및 H2;
압력: 약 30 Torr;
온도: 약 375℃;
유속: H2 내에서 5% B2H6 약 200 sccm과 H2 약 8,000 sccm;
수소/수소화물(hydride) 유속 비: 약 820:1; 및
지속시간: 약 30초.
다음, 벌크 텅스텐 층이 약 375℃ 에서 CVD 프로세스를 이용하여 핵생성 층 상에 약 500Å 의 두께로 WF6-H2를 이용하여 증착되었다.
실시예2: 기판이 증착 챔버에 배치되었고 다음과 같은 조건하에서 침수 프로세스에 노출되었다:
시약: SiH4;
압력: 약 90 Torr;
온도: 약 400℃;
유속: SiH4 약 200 sccm; 및
지속시간: 약 24초.
다음, 텅스텐 핵생성 층이 이전 침수 프로세스로부터 증착 챔버 내의 베리어 층 상에 펄스-CVD 프로세스를 이용하여 다음 조건 하에서 형성되었다:
시약(reagent): WF6, B2H6, 및 H2;
압력: 약 5 Torr;
온도: 약 400℃
유속: 약 60 sccm의 WF6,H2 내에서 5% B2H6 약 100 sccm, 및 H2 약 6,000 sccm
수소/수소화물(hydride) 유속 비: 약 1,220:1; 및
지속시간: 약 1.5초.
펄스-CVD 프로세스는 핵생성층이 약 25Å 의 두께를 가질 때까지 계속되었다. 그 이후, 기판이 증착 챔버 내에 유지되었고 다음 조건 하의 제2 침수 프로세스에 노출되었다:
시약(reagent): B2H6 및 H2;
압력: 약 30 Torr;
온도: 약 400℃
유속: H2 내에서 5% B2H6 약 200 sccm과 H2 약 8,000 sccm
수소/수소화물(hydride) 유속 비: 약 820:1; 및
지속시간: 약 20초.
다음, 벌크 텅스텐 층이 약 400℃ 에서 CVD 프로세스를 이용하여 제 2 핵생성 층 상에 약 500Å 의 두께로 WF6-H2를 이용하여 증착되었다.
실시예3: 기판이 증착 챔버에 배치되었고 다음과 같은 조건하에서 침수 프로세스에 노출되었다:
시약: SiH4;
압력: 약 90 Torr;
온도: 약 400℃;
유속들 : SiH4의 대략 200sccm; 및
펄스 지속시간 : 대략 24초
그 다음, 텅스텐 핵생성 층이 이하의 조건들하에서 펄스형-CVD 프로세스를 이용하여 이전의 침수 프로세스로부터 증착 챔버의 배리어층 상에 형성되었다:
시약: WF6 및 SiH4;
압력: 약 5 Torr;
온도: 약 400℃;
유속들 : WF6의 대략 60sccm 및 SiH4의 대략 30sccm; 및
펄스 지속시간 : 대략 1.5초
핵생성 층이 대략 20Å의 두께를 가질 때까지 펄스형-CVD 프로세스가 계산되었다. 그런 이후에, 기판이 아래의 조건들 하에서 증착 챔버 내에 유지되고 제 2 침수 프로세스에 노출된다:
시약 : B2H6 및 H2;
압력 : 대략 90 Torr;
온도 : 대략 400℃;
유속들 : H2 중 5%인 B2H6의 대략 200sccm 및 H2의 대략 8,000sccm;
수소/수소화물 유속비 : 대략 820:1 ; 및
지속시간 : 대략 20초.
다음으로, 핵생성 층이 대략 40Å의 총 두께를 가질 때까지, 펄스형-CVD 프로세스를 사용하여 다른 텅스텐 핵생성이 형성되었다. 아래의 조건들이 사용된다:
시약 : WF6 및 SiH4;
압력 : 대략 5 Torr;
온도 : 대략 400℃;
유속들 : WF6의 대략 60sccm 및 SiH4의 대략 30sccm;
펄스 지속시간 : 대략 1.5초.
그 결과, 대략 400℃에서 CVD 프로세스를 사용하여 제 2 핵생성 층 상에 대략 500Å인두께의 WF6-H2로서 벌크 텅스텐 층이 증착되었다.
실시예4 : 아래의 조건들 하에서 기판은 증착 챔버 내에 놓이고 침수 프로세스에 노출되었다:
시약 : B2H6 및 H2;
압력 : 대략 90 Torr;
온도 : 대략 400℃;
유속들 : H2 중 5%인 B2H6의 대략 200sccm 및 H2의 대략 8,000sccm;
수소/수소화물 유속비 : 대략 820:1 ; 및
지속시간 : 대략 15초.
다음으로, 아래의 조건들 하에서 펄스형-CVD 프로세서를 사용하여 이전 침수 프로세스로부터 증착 챔버 내의 베리어 층 상에 텅스텐 핵생성 층이 형성되었다:
시약 : WF6 및 SiH4;
압력 : 대략 5 Torr;
온도 : 대략 400℃;
유속들 : WF6의 대략 60sccm 및 SiH4의 대략 30sccm;
펄스 지속시간 : 대략 1.5초.
핵생성 층이 대략 50Å의 두께를 가질 때까지 펄스형-CVD 프로세스가 계속되었다.
그 결과, 대략 400℃에서 CVD 프로세스를 사용하여 제 2 핵생성 층 상에 대략 1,000Å의 두께까지 벌크 텅스텐 층이 증착되었다.
실시예5 : 아래의 조건들 하에서 기판은 증착 챔버 내에 놓이고 침수 프로세스에 노출되었다:
시약 : SiH4 및 H2;
압력 : 대략 90 Torr;
온도 : 대략 400℃;
유속들 : SiH4의 대략 200sccm; 및
지속시간 : 대략 24초.
다음으로, 아래의 조건들 하에서 펄스형-CVD 프로세서를 사용하여 이전 침수 프로세스로부터 증착 챔버 내의 베리어 층 상에 텅스텐 핵생성 층이 형성되었다:
시약 : WF6, B2H6 및 H2;
압력 : 대략 5 Torr;
온도 : 대략 400℃;
유속들 : N2 중 5%인 B2H6의 대략 100sccm과 WF6의 대략 60sccm, 및 H2의 대략 6,000sccm;
수소/수소화물 유속비 : 대략 1,200:1 ; 및
펄스 지속시간 : 대략 1.5초.
핵생성 층이 대략 25Å의 두께를 가질 때까지 펄스형-CVD 프로세스가 계속되었다.
그 결과, 대략 400℃에서 CVD 프로세스를 사용하여 제 2 핵생성 층 상에 대략 1,000Å의 두께까지 벌크 텅스텐 층이 증착되었다.
실시예6 : 아래의 조건들 하에서 기판은 증착 챔버 내에 놓이고 침수 프로세스에 노출되었다:
시약 : B2H6 및 H2;
압력 : 대략 90 Torr;
온도 : 대략 400℃;
유속들 : H2 중 5%인 B2H6의 대략 200sccm 및 H2의 대략 8,000sccm;
수소/수소화물 유속비 : 대략 820:1 ; 및
지속시간 : 대략 15초.
다음으로, 아래의 조건들 하에서 펄스형-CVD 프로세서를 사용하여 이전 침수 프로세스로부터 증착 챔버 내의 베리어 층 상에 텅스텐 핵생성 층이 형성되었다:
시약 : WF6 및 SiH4;
압력 : 대략 5 Torr;
온도 : 대략 400℃;
유속들 : WF6의 대략 60sccm 및 SiH4의 대략 30sccm; 및
펄스 지속시간 : 대략 1.5초.
핵생성 층이 대략 50Å의 두께를 가질 때까지 펄스형-CVD 프로세스가 계속되었다. 그런 이후에, 기판은 증착 챔버 내에 유지되고, 제 2 핵생성 층이 제 1 핵생성 층 상에 증착되었다. 제 2 핵생성 층은 아래의 조건들 하에서 대략 200Å의 두께까지 통상적인 CVD 프로세스에 의해 증착되었다.
시약 : WF6 및 SiH4;
압력 : 대략 30 Torr;
온도 : 대략 400℃;
유속들 : WF6의 대략 60sccm 및 SiH4의 대략 30sccm;
후속하여, 벌크 텅스텐 층이 제2 핵생성 층 상에서 CVD 프로세스를 이용하여 약 400℃에서 약 2,000Å의 두께까지 증착된다.
실시예7 : 기판이 증착 챔버 내부에 진입하고 하기의 조건들 하에서 침수 프로세스에 노출된다 :
시약 : B2H6 및 H2;
압력 : 약 90 Torr;
온도 : 약 400℃;
유속 : 약 200 sccm의, H2 중 5% B2H6 및 약 8,000 sccm의 H2;
수소/수소화물 유속 비율 : 약 820:1; 및
지속기간 : 약 20 초.
다음으로, 텅스텐 핵생성 층이 펄스형-CVD 프로세스를 이용하여 하기의 조건들 하에서 앞서 사용된 증착 챔버에서 배리어 층 상에 형성된다 :
시약 : WF6, B2H6, 및 H2;
압력 : 약 5 Torr;
온도 : 약 400℃;
유속 : 약 60 sccm의 WF6, 약 30 sccm의 B2H6, 및 약 4,000 sccm의 H2 ;
수소/수소화물 유속 비율 : 약 133:1; 및
지속기간 : 약 1.5 초.
상기 펄스형-CVD 프로세스는 핵생성 층이 약 25Å의 두께를 갖기 전까지 지속된다. 그런 이후에, 기판은 증착 챔버에서 머무르고 제2 핵생성 층이 제1 핵생성 층 상에 증착된다. 제2 핵생성 층은 통상적인 CVD 프로세스에 의해 하기의 조건들 하에서 약 150Å의 두께까지 증착된다 :
시약 : WF6, B2H6, 및 H2;
압력 : 약 30 토르;
온도 : 약 400℃;
유속 : 약 60 sccm의 WF6, 약 30 sccm의 B2H6, 및 약 4,000 sccm의 H2 ; 및
수소/수소화물 유속 비율 : 약 133:1.
실시예8 : 기판이 증착 챔버 내부에 진입하고 하기의 조건들 하에서 침수 프로세스에 노출된다 :
시약 : B2H6 및 H2;
압력 : 약 90 Torr;
온도 : 약 400℃;
유속 : 약 200 sccm의, H2 중 5% B2H6 및 약 8,000 sccm의 H2 ;
수소/수소화물 유속 비율 : 약 820:1; 및
지속기간 : 약 15 초.
다음으로, 텅스텐 핵생성 층이 펄스형-CVD 프로세스를 이용하여 하기의 조건들 하에서 침수 프로세스 동안에 사용된 동일한 증착 챔버의 배리어 층 상에서 형성된다 :
시약 : WF6 및 SiH4;
압력 : 약 30 Torr;
온도 : 약 400℃;
유속 : 약 60 sccm의 WF6, 약 30 sccm의 SiH4;
펄스 지속기간 : 약 1.5 초.
상기 펄스형-CVD 프로세스는 핵생성 층이 약 150Å의 두께를 갖기 전까지 지속된다. 그런 이후에, 기판은 증착 챔버에서 머무르고 하기의 조건들 하에서 제2 침수 프로세스에 노출된다 :
시약 : B2H6 및 H2;
압력 : 약 90 Torr;
온도 : 약 400℃;
유속 : 약 200 sccm의, H2 중 5% B2H6 및 약 8,000 sccm의 H2;
수소/수소화물 유속 비율 : 약 820:1; 및
지속기간 : 약 15초.
마지막으로, 기판은 증착 챔버에서 유지되고 벌크 텅스텐 층이 핵생성 층 상에서 CVD 프로세스를 이용하여 약 400℃에서 약 2,000Å의 두께까지 증착된다.
실시예9 : 기판이 증착 챔버 내부에 진입하고 하기의 조건들 하에서 침수 프로세스에 노출된다 :
시약 : B2H6 및 H2;
압력 : 약 90 Torr;
온도 : 약 400℃;
유속 : 약 200 sccm의, H2 중 5% B2H6 및 약 8,000 sccm의 H2;
수소/수소화물 유속 비율 : 약 820:1; 및
지속기간 : 약 10 초.
다음으로, 텅스텐 핵생성 층이 펄스형-CVD 프로세스를 이용하여 하기의 조건들 하에서 사전의 침수 프로세스 동안에 사용된 증착 챔버의 배리어 층 상에서 형성된다 :
시약 : WF6, B2H6, 및 H2;
압력 : 약 30 토르;
온도 : 약 400℃;
유속 : 약 60 sccm의 WF6, 약 30 sccm의 B2H6, 및 약 6,000 sccm의 H2;
수소/수소화물 유속 비율 : 약 200:1; 및
지속기간 : 약 1.5 초.
상기 펄스형-CVD 프로세스는 핵생성 층이 약 100Å의 두께를 갖기 전까지 지속된다. 그런 이후에, 기판은 증착 챔버에서 머무르고 하기의 조건들 하에서 제2 침수 프로세스에 노출된다 :
시약 : B2H6 및 H2;
압력 : 약 15 토르;
온도 : 약 400℃;
유속 : 약 200 sccm의, H2 중 5% B2H6 및 약 6,000 sccm의 H2;
수소/수소화물 유속 비율 : 약 620:1; 및
지속기간 : 약 10초.
마지막으로, 기판은 증착 챔버에서 유지되고 벌크 텅스텐 층이 핵생성 층 상에서 CVD 프로세스를 이용하여 약 400℃에서 약 2,000Å의 두께까지 증착된다.
앞선 상세한 설명은 본 발명의 실시예들에 관한 것이며, 본 발명의 다른 실시예들 및 추가의 실시예들이 뒤이어지는 청구범위에 의해 결정되는 본 발명의 기본적인 범위를 벗어나지 않고서 안출될 수 있다.
도 1은 본원에서 설명되는 일 실시예에 따라서, 주기적 증착 기술을 사용하여 텅스텐층을 형성하기 위한 프로세스 시퀀스를 도시한다.
도 2는 본원에서 설명되는 일 실시예에 따라서, 텅스텐-함유 물질의 형성을 위한 프로세스 시퀀스를 도시한다.
도 3a는 예시적인 통합 처리 플랫폼(integrated processing platform)을 도시한다.
도 3b는 다른 예시적인 통합 처리 플랫폼을 도시한다.
도 4a-4c는 비아, 핵생성된 비아 및 충진된 비아에 대한 단면도를 도시한다.
도 5는 본 발명의 실시예에 따라서 형성된 예시적인 금속산화물 게이트 소자에 대한 단면도를 도시한다.
도 6은 본 발명의 실시예에 따라서 형성된 종래 DRAM 소자의 단면도를 도시한다.

Claims (15)

  1. 기판 상에 텅스텐-함유 재료를 형성하는 방법으로서,
    프로세스 챔버 내에 기판을 배치하는 단계 - 상기 기판은 상부에 배치된 하부층을 포함함 -;
    원자층 증착 프로세스 동안 상기 하부층 상에 텅스텐 핵생성(nucleation) 층을 증착하기 위해, 상기 기판을 텅스텐 프리커서와 환원 가스에 순차적으로 노출시키는 단계 - 상기 환원 가스는 수소 및 수소화물을 포함하고, 약 500:1 이상의 수소/수소화물 유속 비율을 가짐 -; 및
    상기 텅스텐 핵생성 층 상에 텅스텐 벌크층을 증착하는 단계
    를 포함하는 텅스텐-함유 재료 형성 방법.
  2. 제 1 항에 있어서,
    상기 수소화물은 실란, 디실란(disilane), 디보란(diborane), 포스핀(phosphine), 이들의 유도체들, 및 이들의 조합물들로 이루어진 그룹에서 선택되는 것을 특징으로 하는 텅스텐-함유 재료 형성 방법.
  3. 제 1 항에 있어서,
    상기 환원 가스는 디보란을 포함하는 것을 특징으로 하는 텅스텐-함유 재료 형성 방법.
  4. 제 1 항에 있어서,
    상기 환원 가스는 실란 또는 디실란을 포함하는 것을 특징으로 하는 텅스텐-함유 재료 형성 방법.
  5. 제 1 항에 있어서,
    상기 환원 가스의 상기 수소/수소화물 유속 비율은 약 1,000:1 이상인 것을 특징으로 하는 텅스텐-함유 재료 형성 방법.
  6. 제 1 항에 있어서,
    상기 수소화물은 약 1 sccm 내지 약 40 sccm 범위내의 유속을 갖는 상기 프로세스 챔버에 제공되는 것을 특징으로 하는 텅스텐-함유 재료 형성 방법.
  7. 제 6 항에 있어서,
    상기 수소화물의 유속은 약 3 sccm 내지 약 30 sccm 범위내에 있고, 상기 수소의 유속은 약 3 slm 내지 약 15 slm 범위내에 있는 것을 특징으로 하는 텅스텐-함유 재료 형성 방법.
  8. 제 7 항에 있어서,
    상기 수소화물 화합물의 유속은 약 5 sccm 내지 약 15 sccm 범위내에 있고, 상기 수소는 약 5 slm 내지 약 10 slm 범위내의 유속을 포함하는 것을 특징으로 하는 텅스텐-함유 재료 형성 방법.
  9. 제 1 항에 있어서,
    상기 기판은 약 350℃ 내지 약 420℃ 범위내의 온도로 가열되는 것을 특징으로 하는 텅스텐-함유 재료 형성 방법.
  10. 제 1 항에 있어서,
    사전-침수(pre-soak) 프로세스 동안 환원제를 포함하는 사전-침수 가스에 상기 하부층을 노출시키는 단계를 더 포함하고, 상기 하부층은 약 5초 내지 약 60초 범위내의 시간 주기 동안 상기 환원제에 노출되는 것을 특징으로 하는 텅스텐-함유 재료 형성 방법.
  11. 제 10 항에 있어서,
    상기 시간 주기는 약 10초 내지 약 30초 범위내에 있는 것을 특징으로 하는 텅스텐-함유 재료 형성 방법.
  12. 제 1 항에 있어서,
    사후-침수(post-soak) 프로세스 동안 환원제를 포함하는 사후-침수 가스에 상기 텅스텐 핵생성 층을 노출시키는 단계를 더 포함하고, 상기 텅스텐 핵생성 층 은 약 5초 내지 약 60초 범위내의 시간 주기 동안 상기 환원제에 노출되는 것을 특징으로 하는 텅스텐-함유 재료 형성 방법.
  13. 제 12 항에 있어서,
    상기 시간 주기는 약 10초 내지 약 30초 범위내에 있는 것을 특징으로 하는 텅스텐-함유 재료 형성 방법.
  14. 제 1 항에 있어서,
    상기 텅스텐 벌크층은 상기 기판에 대하여 측정되는 약 8Ωμ-cm 이하의 저항률(resistivity)을 갖는 것을 특징으로 하는 텅스텐-함유 재료 형성 방법.
  15. 제 1 항에 있어서,
    상기 하부층은 배리어층이고, 티타늄 금속, 티타늄 질화물, 탄탈 금속, 탄탈 질화물, 루테늄, 니켈, 코발트, 텅스텐 금속, 텅스텐 질화물, 이들의 규화물들, 이들의 유도체들, 이들의 합금들, 및 이들의 조합물들로 이루어진 그룹에서 선택된 재료를 포함하는 것을 특징으로 하는 텅스텐-함유 재료 형성 방법.
KR1020080045144A 2007-05-15 2008-05-15 텅스텐 재료들의 원자층 증착 KR20080101745A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US93812407P 2007-05-15 2007-05-15
US60/938,124 2007-05-15

Publications (1)

Publication Number Publication Date
KR20080101745A true KR20080101745A (ko) 2008-11-21

Family

ID=40125153

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080045144A KR20080101745A (ko) 2007-05-15 2008-05-15 텅스텐 재료들의 원자층 증착

Country Status (4)

Country Link
JP (1) JP2009024252A (ko)
KR (1) KR20080101745A (ko)
CN (1) CN101308794B (ko)
TW (1) TWI493058B (ko)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140014024A (ko) * 2012-07-27 2014-02-05 노벨러스 시스템즈, 인코포레이티드 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
KR20160094310A (ko) * 2015-01-30 2016-08-09 도쿄엘렉트론가부시키가이샤 텅스텐 막의 성막 방법
KR20160140402A (ko) * 2015-05-28 2016-12-07 도쿄엘렉트론가부시키가이샤 금속막의 성막 방법
KR20170046085A (ko) * 2015-10-20 2017-04-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 원자 층 증착 방법 및 그에 의한 구조물
KR20170046088A (ko) * 2015-10-20 2017-04-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 원자층 성막 방법 및 그 구조체
KR20170114932A (ko) * 2016-04-04 2017-10-16 도쿄엘렉트론가부시키가이샤 텅스텐막의 성막 방법 및 기억 매체
US10026616B2 (en) 2015-05-28 2018-07-17 Tokyo Electron Limited Method of reducing stress in metal film and metal film forming method
KR20190132615A (ko) * 2015-10-20 2019-11-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 원자층 증착 방법들 및 그것의 구조물들
KR20200026111A (ko) * 2018-08-31 2020-03-10 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 시스템
KR20200033752A (ko) * 2018-09-20 2020-03-30 도쿄엘렉트론가부시키가이샤 성막 방법 및 기판 처리 시스템
WO2021030836A1 (en) * 2019-08-12 2021-02-18 Lam Research Corporation Tungsten deposition
US11171004B2 (en) 2018-09-20 2021-11-09 Tokyo Electron Limited Film forming method and substrate processing system
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
KR20230050290A (ko) * 2014-05-09 2023-04-14 램 리써치 코포레이션 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들

Families Citing this family (202)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8623733B2 (en) * 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8778797B2 (en) * 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5710529B2 (ja) * 2011-09-22 2015-04-30 株式会社東芝 半導体装置及びその製造方法
JP5959991B2 (ja) * 2011-11-25 2016-08-02 東京エレクトロン株式会社 タングステン膜の成膜方法
JP5925476B2 (ja) * 2011-12-09 2016-05-25 株式会社アルバック タングステン化合物膜の形成方法
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN113862634A (zh) * 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) * 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
KR20160079031A (ko) * 2013-11-27 2016-07-05 도쿄엘렉트론가부시키가이샤 텅스텐막의 성막 방법
CN104701244B (zh) * 2013-12-09 2018-05-11 中芯国际集成电路制造(上海)有限公司 通孔填充方法
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
CN105405764B (zh) * 2014-07-25 2018-07-31 中国科学院微电子研究所 半导体器件制造方法
CN105514024B (zh) * 2014-09-22 2018-11-16 中芯国际集成电路制造(上海)有限公司 金属填充塞的制备方法
US9997405B2 (en) * 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016120957A1 (ja) * 2015-01-26 2016-08-04 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
CN105839068B (zh) * 2015-01-30 2018-09-21 东京毅力科创株式会社 钨膜的成膜方法
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6416679B2 (ja) * 2015-03-27 2018-10-31 東京エレクトロン株式会社 タングステン膜の成膜方法
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
KR102397797B1 (ko) * 2015-05-27 2022-05-12 램 리써치 코포레이션 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9793139B2 (en) * 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
TWI716511B (zh) * 2015-12-19 2021-01-21 美商應用材料股份有限公司 用於鎢原子層沉積製程作為成核層之正形非晶矽
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102441431B1 (ko) * 2016-06-06 2022-09-06 어플라이드 머티어리얼스, 인코포레이티드 표면을 갖는 기판을 프로세싱 챔버에 포지셔닝하는 단계를 포함하는 프로세싱 방법
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US20180019165A1 (en) 2016-07-14 2018-01-18 Entegris, Inc. CVD Mo DEPOSITION BY USING MoOCl4
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102466639B1 (ko) 2017-04-10 2022-11-11 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US9984869B1 (en) * 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR20230127369A (ko) * 2017-05-12 2023-08-31 어플라이드 머티어리얼스, 인코포레이티드 기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10199267B2 (en) * 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
KR20200019766A (ko) * 2017-07-13 2020-02-24 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 핵 생성 층들을 증착하기 위한 방법들 및 장치
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
TWI784036B (zh) * 2017-08-30 2022-11-21 荷蘭商Asm智慧財產控股公司 層形成方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR102513403B1 (ko) * 2018-07-30 2023-03-24 주식회사 원익아이피에스 텅스텐 증착 방법
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN110875245B (zh) * 2018-09-04 2023-06-16 北京北方华创微电子装备有限公司 用于填充孔洞或沟槽的薄膜沉积方法
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210077797A (ko) * 2018-12-19 2021-06-25 엔테그리스, 아이엔씨. 환원성 공-반응물의 존재 하에 텅스텐 또는 몰리브데넘 층을 증착시키는 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
JP7296806B2 (ja) * 2019-07-16 2023-06-23 東京エレクトロン株式会社 RuSi膜の形成方法及び基板処理システム
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
CN112652709A (zh) * 2019-10-10 2021-04-13 上海磁宇信息科技有限公司 磁性隧道结的种子层形成方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112928061A (zh) * 2019-12-05 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN111139451A (zh) * 2020-01-02 2020-05-12 长江存储科技有限责任公司 膜层结构、膜层结构沉积方法及设备
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114958036B (zh) * 2022-06-30 2023-12-01 丰田自动车株式会社 一种珠光颜料及其制备方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3358328B2 (ja) * 1994-10-27 2002-12-16 ソニー株式会社 高融点金属膜の成膜方法
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
TW539760B (en) * 2001-10-12 2003-07-01 Taiwan Semiconductor Mfg Method of depositing tungsten atomic layer by chemical vapor deposition
WO2003064724A1 (en) * 2001-12-17 2003-08-07 Applied Materials, Inc. Process for tungsten deposition by pulsed gas flow cvd
JP2007046134A (ja) * 2005-08-11 2007-02-22 Tokyo Electron Ltd 金属系膜形成方法及びプログラムを記録した記録媒体
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220005100A (ko) * 2012-07-27 2022-01-12 노벨러스 시스템즈, 인코포레이티드 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
KR20140014024A (ko) * 2012-07-27 2014-02-05 노벨러스 시스템즈, 인코포레이티드 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
KR20230050290A (ko) * 2014-05-09 2023-04-14 램 리써치 코포레이션 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들
KR20160094310A (ko) * 2015-01-30 2016-08-09 도쿄엘렉트론가부시키가이샤 텅스텐 막의 성막 방법
US10131986B2 (en) 2015-05-28 2018-11-20 Tokyo Electron Limited Method of forming metal film
KR20160140402A (ko) * 2015-05-28 2016-12-07 도쿄엘렉트론가부시키가이샤 금속막의 성막 방법
US10026616B2 (en) 2015-05-28 2018-07-17 Tokyo Electron Limited Method of reducing stress in metal film and metal film forming method
US10658488B2 (en) 2015-10-20 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof
KR20190132615A (ko) * 2015-10-20 2019-11-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 원자층 증착 방법들 및 그것의 구조물들
KR20170046088A (ko) * 2015-10-20 2017-04-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 원자층 성막 방법 및 그 구조체
KR20170046085A (ko) * 2015-10-20 2017-04-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 원자 층 증착 방법 및 그에 의한 구조물
US10854725B2 (en) 2015-10-20 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof
US10923576B2 (en) 2015-10-20 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof
US10400330B2 (en) 2016-04-04 2019-09-03 Tokyo Electron Limited Tungsten film forming method and storage medium
KR20170114932A (ko) * 2016-04-04 2017-10-16 도쿄엘렉트론가부시키가이샤 텅스텐막의 성막 방법 및 기억 매체
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
KR20200026111A (ko) * 2018-08-31 2020-03-10 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 시스템
US11401609B2 (en) 2018-08-31 2022-08-02 Tokyo Electron Limited Film forming method and film forming system
US11171004B2 (en) 2018-09-20 2021-11-09 Tokyo Electron Limited Film forming method and substrate processing system
KR20200033752A (ko) * 2018-09-20 2020-03-30 도쿄엘렉트론가부시키가이샤 성막 방법 및 기판 처리 시스템
WO2021030836A1 (en) * 2019-08-12 2021-02-18 Lam Research Corporation Tungsten deposition

Also Published As

Publication number Publication date
CN101308794B (zh) 2010-09-15
TW200914630A (en) 2009-04-01
CN101308794A (zh) 2008-11-19
JP2009024252A (ja) 2009-02-05
TWI493058B (zh) 2015-07-21

Similar Documents

Publication Publication Date Title
US7964505B2 (en) Atomic layer deposition of tungsten materials
KR20080101745A (ko) 텅스텐 재료들의 원자층 증착
US7405158B2 (en) Methods for depositing tungsten layers employing atomic layer deposition techniques
KR100978993B1 (ko) 순차 증착 기술을 이용한 내화 금속 층의 증착 방법
US8835311B2 (en) High temperature tungsten metallization process
US7732327B2 (en) Vapor deposition of tungsten materials
US7691742B2 (en) Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20100102417A1 (en) Vapor deposition method for ternary compounds
US20030215570A1 (en) Deposition of silicon nitride
KR20110059741A (ko) 배리어 표면들상의 코발트 증착
KR20040058239A (ko) 구리 금속화 어플리케이션을 위한 에이엘디 탄탈 질화물및 알파-위상 탄탈의 통합
WO2009042713A1 (en) Vapor deposition of tungsten materials
WO2024054441A1 (en) Integrated pvd tungsten liner and seamless cvd tungsten fill

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E601 Decision to refuse application
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20110825

Effective date: 20120521