KR20110059741A - 배리어 표면들상의 코발트 증착 - Google Patents

배리어 표면들상의 코발트 증착 Download PDF

Info

Publication number
KR20110059741A
KR20110059741A KR1020117007281A KR20117007281A KR20110059741A KR 20110059741 A KR20110059741 A KR 20110059741A KR 1020117007281 A KR1020117007281 A KR 1020117007281A KR 20117007281 A KR20117007281 A KR 20117007281A KR 20110059741 A KR20110059741 A KR 20110059741A
Authority
KR
South Korea
Prior art keywords
layer
cobalt
substrate
plasma
hydrogen
Prior art date
Application number
KR1020117007281A
Other languages
English (en)
Other versions
KR101599488B1 (ko
Inventor
지앙 루
형찬 하
폴 마
세샤드리 간구리
조셉 에프. 오부숀
상호 유
무라리 나라심한
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110059741A publication Critical patent/KR20110059741A/ko
Application granted granted Critical
Publication of KR101599488B1 publication Critical patent/KR101599488B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명의 실시예들은 배리어층상에 코발트층을 증착하고 후속하여 구리 또는 구리 합금과 같은 도전성 물질들 그 상부에 증착하기 위한 프로세스들을 제공한다. 일 실시예에서, 기판 표면상에 물질들을 증착하기 위한 방법이 제공되며, 상기 방법은, 기판상에 배리어층을 형성하는 단계, 기상 증착 프로세스 동안에 배리어층상에 코발트층을 형성하기 위하여 디코발트 헥사카보닐 부틸아세틸렌(CCTBA: dicobalt hexacarbonyl butylacetylene)에 기판을 노출시키는 단계, 및 코발트층 위에 도전성 물질을 증착하는 단계를 포함한다. 몇몇 실시예들에서, 배리어층 및/또는 코발트층은 열적 프로세스, 인 시튜 플라즈마 프로세스, 또는 원격 플라즈마 프로세스와 같은 처리 프로세스 동안에 가스 또는 시약에 노출될 수 있다.

Description

배리어 표면들상의 코발트 증착{COBALT DEPOSITION ON BARRIER SURFACES}
본 발명의 실시예들은 일반적으로 전자 및 반도체 소자들을 제작하기 위한 금속화(metallization) 프로세스와 관련되며, 특히, 실시예들은 상부에 도전성층 또는 콘택 물질을 증착하기 이전에 배리어층상에 코발트층을 증착하기 위한 방법과 관련된다.
구리는 소자 제작에 결정적인 멀티레벨 금속화 프로세스들에서 사용하기 위해 현재 선택된 금속이다. 제작 프로세스들을 구동시키는 멀티레벨 상호접속부들은 콘택들, 비아들, 라인들, 및 다른 피쳐(feature)들을 포함하는 고 종횡비 개구들의 평탄화를 요구한다. 피쳐들이 더 높은 종횡비들을 가질 때, 공극(void)들을 생성하거나 피쳐 기하학적 구조를 변형시키지 않고 피쳐들을 충진시키는 것은 더욱 어렵다. 상호접속부들의 신뢰성 있는 형성도 또한 제작자들이 회로 밀도 및 품질을 증가시키기 위해 노력하기 때문에 더욱 어렵다.
구리의 사용이 자신의 상대적으로 낮은 비용 및 프로세싱 특성들로 인하여 시장에 침투함에 따라, 반도체 제작자들은 구리 확산 및 탈습윤(dewetting)을 감소시킴으로써 구리와 유전체 물질 사이의 경계선 영역들을 개선하기 위한 방법들을 계속하여 강구한다. 다수의 프로세싱 방법들은 피쳐 크기들이 감소함에 따라 구리 상호접속부들을 제작하기 위하여 개발되었다. 각각의 프로세싱 방법은 경계선 영역들 양단의 구리 확산, 구리 결정 구조 변형, 및 탈습윤과 같은 에러의 가능성을 증가시킬 수 있다. 물리 기상 증착(PVD: Physical vapor deposition), 화학 기상 증착(CVD: chemical vapor deposition), 원자층 증착(ALD: atomic layer deposition), 전기화학적 도금(ECP: electrochemical plating), 비전착성 증착(electroless deposition), 화학 기계적 연마(CMP: chemical mechanical polishing), 전자화학 기계적 연마(ECMP: electrochemical mechanical polishing), 및 구리층들을 증착하고 제거하는 다른 방법들은 상호접속부들을 형성하는 구리를 다루기 위한 기계적, 전기적, 또는 화학적 방법들을 이용한다. 배리어층 및 구리층은 구리를 포함하도록 증착될 수 있다.
과거에, 탄탈룸, 탄탈룸 질화물, 또는 주석, 알루미늄, 또는 마그네슘을 갖는 구리 합금의 층은 구리와 다른 물질들 사이에 접착성 촉진제 또는 배리어층을 제공하는데 사용되었다. 이러한 옵션들은 대개 비용이 많이 들고, 단지 부분적으로만 효과적이다. 경계선 영역들을 따르는 구리 원자들이 온도, 압력, 기압 조건들, 또는 다수 단계 반도체 프로세싱 동안에 공통인 다른 프로세스 변수들의 변화들을 경험함에 따라, 구리는 경계선 영역들을 따라 이동하고, 응집(agglomerated) 구리가 될 수 있다. 구리는 또한 경계선 영역들을 따라 덜 균일하게 분산되고, 탈습윤된(dewetted) 구리가 될 수 있다. 경계선 영역의 이러한 변화들은 구리 원자들의 스트레스 이동 및 전자이동을 포함한다. 유전체층들 또는 다른 구조들에 걸친 스트레스 이동 및 전자이동은 결과 구조들의 저항을 증가시키고, 결과 소자들의 신뢰성을 감소시킨다.
따라서, 배리어층상에 콘택 물질 또는 도전성층의 안정성 및 접착성을 향상시키기 위한 필요성이 존재한다. 또한, 특히 구리선 형성을 위해 구리 포함층의 전자이동 신뢰성을 향상시키는 한편, 유전체 물질들과 같은 이웃 물질들로의 구리의 확산을 방지하기 위한 필요성이 존재한다.
본 발명의 실시예들은 상부에 도전성층을 증착하기 이전에 배리어층상에 코발트층을 증착하기 위한 프로세스들을 제공한다. 일 실시예에서, 기판상에 배리어층을 형성하는 단계, 기상 증착 프로세스 동안에 상기 배리어층상에 코발트층을 형성하기 위하여 디코발트 헥사카보닐 부틸아세틸렌(CCTBA: dicobalt hexacarbonyl butylacetylene)에 상기 기판을 노출시키는 단계, 및 상기 코발트층 위에 도전성 물질을 증착하는 단계를 포함하는, 기판 표면상에 물질들을 증착하기 위한 방법이 제공된다.
일 실시예에서, 기판은 열적 CVD 프로세스 동안에 상기 CCTBA 및 상기 수소를 포함하는 증착 가스에 노출될 수 있다. 다른 실시예에서, 기판은 ALD 프로세스 동안에 CCTBA 및 수소에 순차적으로 노출될 수 있다. 기판은 CVD 또는 ALD 프로세스 동안에 약 100℃ 내지 약 250℃ 범위 내의 온도로 가열될 수 있다. 코발트층은 약 40Å 미만의 두께로 증착될 수 있다.
몇몇 실시예들에서, 배리어층 및/또는 코발트층은 처리 프로세스 동안에 가스 또는 시약에 노출될 수 있다. 처리는 열적 프로세스, 인 시튜(in situ) 플라즈마 프로세스, 또는 원격 플라즈마 프로세스일 수 있다. 가스 또는 시약은 질소(N2), 암모니아(NH3), 수소(H2), 암모니아/수소 혼합물, 시레인, 디실란, 헬륨, 아르곤, 이들의 플라즈마, 이들의 유도체들, 또는 이들의 조합물들이거나 이들을 포함할 수 있다. 배리어층 또는 코발트층은 약 1초 내지 약 30초 범위 내의 시간 기간 동안 가스, 시약, 또는 플라즈마에 노출될 수 있다. 기판은 처리 프로세스 동안에 약 50℃ 내지 약 400℃ 범위 내의 온도로 가열될 수 있다.
몇몇 실시예들에서, 도전성 물질은 구리 또는 구리 합금을 포함할 수 있다. 도전성 물질은 시드층 및 벌크층을 포함할 수 있다. 대안적으로, 도전성 물질은 전기화학적 도금(ECP: electrochemical plating) 프로세스 등에 의하여 코발트층상에 직접 증착될 수 있다. 일 실시예에서, 구리를 포함하는 시드층은 PVD 프로세스 또는 CVD 프로세스에 의하여 증착될 수 있다. 다른 실시예에서, 벌크층은 구리를 포함하고, ECP 프로세스에 의하여 증착될 수 있다. 배리어층은 탄탈룸, 탄탈룸 질화물, 티타늄, 티타늄 질화물, 텅스텐, 텅스텐 질화물, 이들의 합금들, 이들의 유도체들, 및 이들의 조합물들을 포함할 수 있다. 일 실시예에서, 배리어층은 탄탈룸층상에 배치되는 탄탈룸 질화물층일 수 있다.
다른 실시예에서, 기판 표면상에 물질들을 증착하기 위한 방법이 제공되며, 상기 방법은 기판상에 배리어층을 형성하는 단계, 전-처리 프로세스 동안에 제1 플라즈마에 배리어층을 노출시키는 단계, 기상 증착 프로세스 동안에 상기 배리어층상에 코발트층을 형성하기 위하여 디코발트 헥사카보닐 부틸아세틸렌(CCTBA) 및 수소에 상기 기판을 노출시키는 단계, 후-처리 프로세스 동안에 제2 플라즈마에 상기 코발트층을 노출시키는 단계, 및 기상 증착 프로세스에 의하여 상기 코발트층상에 구리층을 증착하는 단계를 포함한다.
다른 실시예에서, 기판 표면상에 물질들을 증착하기 위한 방법이 제공되며, 상기 방법은 기판상에 배리어층을 형성하는 단계, 전-처리 프로세스 동안에 플라즈마에 상기 배리어층을 노출시키는 단계, 기상 증착 프로세스 동안에 상기 배리어층상에 코발트층을 형성하기 위하여 디코발트 헥사카보닐 부틸아세틸렌(CCTBA) 및 환원 가스에 상기 기판을 노출시키는 단계, 후-처리 프로세스 동안에 수소 플라즈마에 상기 코발트층을 노출시키는 단계, 및 상기 코발트층 위에 구리 물질을 증착하는 단계를 포함한다. 일 실시예에서, 코발트층을 증착하기 위한 기상 증착 프로세스 및 후-처리 프로세스는 코발트 물질을 형성하기 위하여 순차적으로 반복된다. 코발트 물질은 상부에 증착되는 다른 코발트층을 갖기 이전에 수소 플라즈마에 각각 노출된 다수의 코발트층들을 포함한다.
본 발명의 상기 언급된 특징들이 상세히 이해될 수 있는 방식으로, 상기 간략히 요약된 본 발명의 보다 상세한 설명이 실시예들을 참고로 하여 진술될 것이며, 설명의 일부는 첨부된 도면들과 함께 설명된다. 그러나, 첨부된 도면들은 본 발명의 단지 통상적인 실시예들을 개시하며, 따라서, 본 발명의 범위를 제한하도록 고려되지 않을 것이고, 다른 동일한 유효한 실시예들이 허용될 수 있음을 유념하라.
도 1은 본 명세서에 개시되는 일 실시예에 따른 프로세스를 예시하는 흐름도를 도시한다.
도 2a-2f는 본 명세서에 개시되는 일 실시예에 따른 상이한 프로세스 단계들에서의 기판의 개략도들을 도시한다.
본 발명의 실시예들은 상부에 도전성층을 증착하기 이전에 배리어층상에 코발트층을 증착하기 위한 방법을 제공한다. 코발트층 및 배리어층은 각각 선택적으로 플라즈마 프로세스 또는 열적 프로세스와 같은 처리 프로세스에 노출될 수 있다. 도전성층은 구리 또는 구리 합금을 포함하고, 물리 기상 증착(PVD) 프로세스, 원자층 증착(ALD) 프로세스, 전기화학적 도금(ECP) 프로세스, 또는 비전착성 증착 프로세스에 의하여 증착될 수 있다. 코발트층은 접착성을 증진시키고, 갭충진 및 전자이동 성능을 향상시키고, 확산 및 응집(agglomeration)을 감소시키며, 프로세싱 동안에 기판 표면의 균일한 거칠기(roughness) 및 습윤(wetting)을 촉진(encourage)하기 위하여 구리 경계선 영역 특성들을 향상시킨다.
도 1은 본 발명의 일 실시예에 따른 프로세스(100)를 예시하는 흐름도를 도시한다. 프로세스(100)는 기판상의 상호접속부 또는 다른 소자를 형성하기 위하여 사용될 수 있다. 일 실시예에서, 도 2a-2f에 도시되는프로세스(100)의 단계들(110-150)은 기판(200)상에 수행될 수 있다. 프로세스(100)는 기판상에 배리어층을 증착하거나 형성하는 단계(단계(110)), 선택적으로 배리어층을 전-처리 프로세서에 노출시키는 단계(단계(120)), 배리어층상에 코발트층을 증착하는 단계(단계(130)), 선택적으로 후-처리 프로세스에 코발트층을 노출시키는 단계(단계(140)), 및 코발트층상에 적어도 하나의 도전성층을 증착하는 단계(단계(150))를 포함한다.
도 2a는 하부층(202)상에 증착되는 유전체층(204)을 포함하는 기판(200)을 도시한다. 개구(206)는 유전체층(204) 내에 형성되며, 내부에 형성되는 비아, 다마신, 트러프(trough), 또는 다른 경로일 수 있다. 하부층(202)은 소자 구조에 따라 기판, 기판 표면, 콘택층, 또는 다른 층일 수 있다. 유전체층(204)은 로우-k 유전체 물질과 같은 유전체 물질을 포함할 수 있다. 일 실시예에서, 유전체층(204)은 실리콘 탄화물 산화물 물질, 탄소 도핑된 실리콘 산화물 물질, 예를 들어, 캘리포니아 산타 클라라에 위치된 어플라이드 머티리얼스 사로부터 이용가능한 BLACK DIAMOND® Ⅱ 로우-k 유전체 물질과 같은 로우-k 유전체 물질을 포함한다. 유전체층(204)에 대한 적절한 물질의 다른 실시예는 본 명세서에 참조로서 통합되는, 공동으로 양도된 미국 특허 번호 6,537,733, 6,790,788, 및 6,890,850에 개시되는 것과 같은 화학 기상 증착(CVD) 또는 플라즈마 강화 CVD(PE-CVD)를 사용하여 형성되는 실리콘 탄화물 기반 막이다.
일 실시예에서, 적어도 하나의 배리어층 또는 물질은 프로세스(100)의 단계(110) 동안에 기판상에 증착되거나 형성될 수 있다. 일 실시예에서, 도 2b는 개구(206) 내에 등각으로(conformally), 유전체층(204) 위에, 기판(200)상에 배치되는 배리어층(210)을 도시한다. 배리어층(210)은 하나의 층 또는 다수의 층들일 수 있다. 배리어층(210)은 티타늄, 티타늄 질화물, 탄탈룸, 탄탈룸 질화물, 텅스텐, 텅스텐 질화물, 이들의 규화물들, 이들의 유도체들, 및 이들의 조합물들을 포함할 수 있다. 몇몇 실시예들에서, 배리어층(210)은 탄탈룸/탄탈룸 질화물, 티타늄/티타늄 질화물, 또는 텅스텐/텅스텐 질화물의 이중층을 포함할 수 있다. 배리어층(210)은 약 5Å 내지 약 50Å 범위, 바람직하게는 약 10Å 내지 약 30Å 범위 내의 두께를 가질 수 있으며, PVD, ALD, 플라즈마 강화 ALD(PE-ALD), CVD, PE-CVD, 펄스형-CVD, 또는 이들의 조합들에 의하여 형성되거나 증착될 수 있다.
일 실시예에서, 배리어층(210)은 PVD 프로세스에 의하여 증착되는 금속 탄탈룸의 하부층 및 다른 PVD 프로세스에 의하여 증착되는 탄탈룸 질화물층의 하부층 위에 배치되는 상부층을 포함한다. 다른 실시예에서, 배리어층(210)은 ALD 프로세스에 의하여 증착되는 금속 탄탈룸의 하부층 및 CVD 프로세스에 의하여 증착되는 탄탈룸 질화물층의 하부층 위에 배치된 상부층을 포함한다. 다른 실시예에서, 배리어층(210)은 PVD 프로세스에 의하여 증착되는 금속 탄탈룸의 하부층 및 CVD 프로세스에 의하여 증착되는 탄탈룸 질화물층의 하부층 위에 배치되는 상부층을 포함한다.
예를 들어, 배리어층(210)은 CVD 프로세스 또는 ALD 프로세스를 사용하여 증착되는 탄탈룸 질화물을 포함할 수 있으며, 여기서 탄탈룸-포함 화합물 또는 탄탈룸 선구물질(예를 들어, PDMAT) 및 질화물 선구물질(예를 들어, 암모니아)이 바응된다. 일 실시예에서, 탄탈룸 및/또는 탄탈룸 질화물이 본 명세서에 참조로서 통합되고 US 2003-0121608로 발행되고 2002년 10월 25일자로 출원된, 공동으로 양도된 미국 일련 번호 10/281,079호에 개시되는 바와 같은 ALD 프로세스에 의하여 배리어층(210)으로서 증착된다. 일 실시예에서, Ta/TaN 이중층은 임의의 순서로 다른 층의 상부에 하나의 층이 놓이는 방식으로, ALD, CVD, 및/또는 PVD 프로세스들에 의하여 독립적으로 증착되는 금속 탄탈룸층 및 탄탈룸 질화물층과 같은 배리어층(210)으로서 증착될 수 있다.
다른 실시예에서, Ti/TiN 이중층은 임의의 순서로 다른 층의 상부에 하나의 층이 놓이는 방식으로, ALD, CVD, 및/또는 PVD 프로세스들에 의하여 독립적으로 증착되는 금속 티타늄층 및 티타늄 질화물층과 같은 배리어층(210)으로서 증착될 수 있다. 다른 실시예에서, W/WN 이중층은 임의의 순서로 다른 층의 상부에 하나의 층이 놓이는 방식으로, ALD, CVD 및/또는 PVD 프로세스들에 의하여 독립적으로 증착되는 금속 텅스텐층 및 텅스텐 질화물층과 같은 배리어층(210)으로서 증착될 수 있다.
단계(120)에서, 배리어층(210)은 플라즈마 프로세스 또는 열적 프로세스와 같은 전-처리 프로세스에 선택적으로 노출될 수 있다. 플라즈마 또는 열적 전-처리 프로세스들 동안에 기판(200)에 노출될 수 있는 프로세스 가스들 및/또는 시약들은 수소(예를 들어, H2 또는 원자-H), 질소(예를 들어, N2 또는 원자-N), 암모니아(NH3), 수소 및 암모니아 혼합물(H2/NH3), 히드라진(N2H4), 시레인(SiH4), 디실란(Si2H6), 헬륨, 아르곤, 이들의 유도체들, 이들의 플라즈마들, 또는 이들의 조합물들을 포함한다. 프로세스 가스는 예를 들어, 약 500 sccm 내지 약 10 slm 범위 내의, 바람직하게는 약 1 slm 내지 약 6 slm 범위 내의, 예를 들어, 약 3 slm의 유량을 갖는 기판에 노출되거나 프로세싱 챔버로 흐를 수 있다.
일 실시예에서, 기판(200) 및 배리어층(210)은 단계(120)에서 전-처리 프로세스 동안에 배리어층(210)으로부터 오염물질들을 제거하기 위하여 플라즈마에 노출될 수 있다. 기판(200)은 프로세싱 챔버 내에 위치되고, 플라즈마를 형성하기 위하여 점화되는 프로세스 가스에 노출될 수 있다. 프로세스 가스는 하나의 가스 화합물 또는 다수의 가스 화합물들을 포함할 수 있다. 기판(200)은 실온(예를 들어, 23℃)에 있을 수 있으나, 일반적으로 후속 증착 프로세스의 원하는 온도로 미리 가열된다. 기판(200)은 약 100℃ 내지 약 400℃, 바람직하게는 약 125℃ 내지 약 350℃, 보다 바람직하게는 약 200℃ 내지 약 250℃와 같은 약 150℃ 내지 약 300℃ 범위 내의 온도로 가열될 수 있다.
프로세싱 챔버는 인 시튜 플라즈마를 생성하거나, 원격 플라즈마 소스(RPS: remote plasma source)가 구비될 수 있다. 일 실시예에서, 기판(200)은 약 0.5초 내지 약 90초, 바람직하게는 약 10초 내지 약 60초, 보다 바람직하게는 약 20초 내지 약 40초 범위 내의 시간 기간 동안 (예를 들어, 인 시튜 또는 원격으로) 플라즈마에 노출될 수 있다. 플라즈마는 약 100와트 내지 약 1000 와트, 바람직하게는 약 200 와트 내지 약 600 와트, 보다 바람직하게는 약 300 와트 내지 약 500 와트의 범위 내의 전력에서 생성될 수 있다. 프로세싱 챔버는 일반적으로 약 0.1 Torr 내지 약 100Torr, 바람직하게는 약 0.5 Torr 내지 약 50 Torr, 보다 바람직하게는 약 1 Torr 내지 약 10 Torr 범위 내와 같은, 약 100 Torr 이하의 내부 압력을 갖는다.
일 실시예에서, 기판(200) 및 배리어층(210)은 수소, 암모니아, 질소, 또는 이들의 혼합물들로부터 생성되는 플라즈마에 노출될 수 있다. 다른 실시예에서, 기판(200) 및 배리어층(210)은 수소 및 암모니아로부터 생성되는 플라즈마에 노출될 수 있다. 다른 실시예에서, 기판(200) 및 배리어층(210)은 수소, 질소, 시레인, 디실란, 또는 이들의 혼합물로부터 생성되는 플라즈마에 노출될 수 있다. 다른 실시예에서, 기판(200) 및 배리어층(210)은 수소, 질소, 아르곤, 헬륨, 또는 이들의 혼합물들로부터 생성되는 플라즈마에 노출될 수 있다.
다른 실시예에서, 기판(200) 및 배리어층(210)은 단계(120)에서 열적 전-처리 프로세스 동안에 배리어층(210)으로부터 오염물질들을 제거하기 위하여 프로세스 가스에 노출된다. 열적 전-처리 프로세스는 급속 열적 프로세서(RTP: rapid thermal process) 또는 급속 열적 어닐링(RTA: rapid thermal annealing) 프로세스일 수 있다. 기판(200)은 프로세싱 챔버 내에 위치되고, 적어도 하나의 프로세스 가스 및/또는 시약에 노출될 수 있다. 프로세싱 챔버는 PVD 챔버, CVD 챔버, 또는 ALD 챔버와 같은 후속 증착 프로세스에 대하여 사용될 증착 챔버일 수 있다. 대안적으로, 프로세싱 챔버는 캘리포니아 산타 클라라의 어플라이드 머티리얼스 사로부터 상업적으로 이용가능한 RADIANCE® RTA 챔버와 같은 열적 어닐링 챔버일 수 있다. 기판(200)은 약 25℃ 내지 약 800℃, 바람직하게는 약 50℃ 내지 약 400℃, 보다 바람직하게는 약 100℃ 내지 약 300℃ 범위 내의 온도로 가열될 수 있다. 기판(200)은 약 2분 내지 약 20분, 바람직하게는 약 5분 내지 약 15분 범위 내의 시간 기간 동안 가열될 수 있다. 예를 들어, 기판(200)은 프로세싱 챔버 내에서 약 12분 동안 약 400℃로 가열될 수 있다.
일 실시예에서, 기판(200) 및 배리어층(210)은 프로세싱 챔버 내에서 가열되는 동안 수소, 암모니아, 질소, 또는 이들의 혼합물들에 노출될 수 있다. 다른 실시예에서, 기판(200) 및 배리어층(210)은 프로세싱 챔버 내에서 가열되는 동안 암모니아/수소 혼합물에 노출될 수 있다. 다른 실시예에서, 기판(200) 및 배리어층(210)은 프로세싱 챔버 내에서 가열되는 동안 수소, 질소, 시레인, 디실란, 또는 이들의 혼합물들에 노출될 수 있다. 다른 실시예에서, 기판(200) 및 배리어층(210)은 프로세싱 챔버 내에서 가열되는 동안 수소, 질소, 아르곤, 헬륨, 또는 이들의 혼합물에 노출될 수 있다.
다른 실시예에서, 적어도 코발트 물질 또는 코발트층은 프로세스(100)의 단계(130) 동안 기판상에 증착되거나 형성될 수 있다. 일 실시예에서, 도 2c는 개구(206) 내에 등각으로, 배리어층(210) 위에, 기판(200)상에 배치되는 코발트층(220)을 도시한다. 코발트층(220)은 일반적으로 단일층이나, 다수의 층들을 포함할 수도 있다. 코발트층(220)은 배리어층(210)에 걸친 연속층 또는 불연속층일 수 있다. 코발트층(220)은 약 2Å 내지 약 40Å, 바람직하게는 약 5Å 내지 약 30Å 범위 내와 같은, 약 40Å 미만의 두께를 가질 수 있다. 코발트층(220)은 CVD, PE-CVD, 펄스형-CVD, ALD, PE-ALD, 또는 PVD와 같은 기상 증착 프로세스에 의하여 형성되거나 증착될 수 있다. 플라즈마 강화 기상 증착 프로세스, 즉, PE-CVD 및 PE-ALD는 프로세싱 챔버 내의 인 시튜 플라즈마 프로세스일 수 있거나, 또는 플라즈마가 RPS에 의하여 점화되고 프로세싱 챔버로 지향되도록 원격 플라즈마 프로세스일 수 있다. 다수의 실시예들에서, 코발트층(220)은 금속 코발트를 포함한다. 대안적으로, 다른 실시예들에서, 코발트층(220)은 금속 코발트, 코발트 규화물, 코발트 붕소화물, 코발트 인화물, 이들의 합금들, 이들의 유도체들 및 이들의 조합물들과 같은 하나 이상의 코발트 물질들을 포함할 수 있다.
몇몇 실시예들에서, 코발트층(220)은 열적 CVD 프로세스, 펄스형-CVD 프로세스, PE-CVD 프로세스, 또는 펄스형 PE-CVD 프로세스 동안에 프로세싱 챔버로 코발트 선구물질 및 시약을 동시에 도입함으로써 형성되거나 증착될 수 있다. 다른 실시예들에서, 코발트 선구물질은 열적 CVD 프로세스, 펄스형-CVD 프로세스, PE-CVD 프로세스, 또는 펄스형 PE-CVD 프로세스 동안에 시약 없이 프로세싱 챔버로 도입될 수 있다. 대안적으로, 다른 실시예들에서, 코발트층(220)은 열적 ALD 프로세스 또는 PE-ALD 프로세스 동안에 프로세싱 챔버로 코발트 선구물질 및 시약을 순차적으로 도입함으로써 형성되거나 증착될 수 있다.
코발트층(220)은 몇몇 실시예들에서 금속 코발트를 포함할 수 있으나, 다른 실시예들에서 다른 코발트 물질들을 포함할 수 있다. 본 명세서에 개시되는 CVD 또는 ALD 프로세스들에 의하여 코발트 물질들(예를 들어, 금속 코발트 또는 코발트 합금들)을 형성하기 위한 적절한 코발트 선구물질들은 코발트 카보닐(cobalt carbonyl) 복합체들, 코발트 아미디네이트(cobalt amidinates) 화합물들, 코발토신(cobaltocene) 화합물들, 코발트 디에닐(cobalt dienyl) 복합체들, 코발트 니트로실(cobalt nitrosyl) 복합물들, 이들의 유도체들, 이들의 복합체들, 이들의 플라즈마들, 또는 이들의 조합물들을 포함한다. 몇몇 실시예들에서, 코발트 물질들은 본 명세서에 참조로서 통합되는, 공동으로 양도된 미국 특허 번호 7,264,846호 및 7,404,985호에 추가로 개시되는 CVD 및 ALD 프로세스들에 의하여 증착될 수 있다.
몇몇 실시예들에서, 코발트 카보닐 화합물들 또는 복합체들은 코발트 선구물질들로서 이용될 수 있다. 코발트 카보닐 화합물들 또는 복합체들은 일반 화학식 (CO)xCoyLz를 가지며, 여기서 X는 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 또는 12이고, Y는 1, 2, 3, 4, 또는 5이며, Z는 1, 2, 3, 4, 5, 6, 7, 또는 8일 수 있다. 그룹 L은 동일한 리간드(ligand) 또는 상이한 리간드들일 수 있는 다수의 리간드들 또는 하나의 리간드가 없으며, 시클로펜타디에닐, 알킬시클로펜타디에닐(예를 들어, 메틸시클로펜타디에닐 또는 펜티메틸시클로펜타디에닐), 펜타디에닐, 알킬펜타디에닐, 시클로부타디에닐, 부타디에닐, 에틸렌, 알릴(또는 프로필렌), 알켄들, 디알켄들, 알킨들, 아세틸렌, 부틸아세틸렌, 니트로실, 암모니아, 이들의 유도체들, 이들의 복합물들, 이들의 플라즈마들, 또는 이들의 조합물들을 포함한다.
일 실시예에서, 디코발트 헥사카보닐 아세틸 화합물들은 증착 프로세스 동안에 코발트 물질들(예를 들어, 코발트층(220))을 형성하기 위하여 사용될 수 있다. 디코발트 헥사카보닐 아세틸 화합물들은 (CO)6Co2(RC≡CR')의 화학식을 가질 수 있으며, 여기서 R 및 R'는 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, 삼차 부틸, 펜타, 벤질, 아릴, 이들의 이성질체들, 이들의 유도체들, 이들의 조합물들로부터 독립적으로 선택된다. 일 실시예에서, 디코발트 헥사카보닐 부틸아세틸렌(CCTBA, (CO)6Co2(HC≡CtBU))은 코발트 선구물질이다. 디코발트 헥사카보닐 아세틸 화합물들의 다른 실시예들은 디코발트 헥사카보닐 메틸부틸아세틸렌((CO)6Co2(MeC≡CtBU)), 디코발트 헥사카보닐 페닐아세틸렌((CO)6Co2(HC≡CPh)), 헥사카보닐 메틸페닐아세틸렌((CO)6Co2(MeC≡CPh)), 디코발트 헥사카보닐 메틸아세틸렌((CO)6Co2(HC≡CMe)), 디코발트 헥사카보닐 디메틸아세틸렌((CO)6Co2(MeC≡CMe)), 이들의 유도체들, 이들의 복합체들, 이들의 플라즈마들, 또는 이들의 조합물들을 포함한다. 다른 예시적인 코발트 카보닐 복합체들은 시클로펜타디에닐 코발트 비스(카보닐)(CpCo(CO)2), 트리카보닐 알릴 코발트((CO)3Co(CH2CH=CH2)), 이들의 유도체들, 이들의 복합체들, 이들의 플라즈마들, 또는 이들의 조합물들을 포함한다.
다른 실시예에서, 코발트 아미디네이트들 또는 코발트 아미도 복합체들은 코발트 선구물질들로서 이용될 수 있다. 코발트 아미도 복합체들은 일반 화학식 (RR'N)xCo를 가지며, 여기서 X는 1, 2, 또는 3일 수 있고, R 및 R'는 독립적으로 수소, 메틸, 에틸, 프로필, 부틸, 알킬, 실릴, 알킬실릴, 이들의 유도체들, 및 이들의 조합물들이다. 몇몇 예시적인 코발트 아미도 복합체들은 비스(디(부틸디메틸실릴)아미도) 코발트(((BuMe2Si)2N)2Co), 비스(디(에틸디메틸실릴)아미도) 코발트(((EtMe2Si)2N)2Co), 비스(디(프로필디메틸실릴)아미도) 코발트(((PrMe2Si)2N)2Co), 비스(디(트리메틸실릴)아미도) 코발트(((Me3Si)2N)2Co), 트리스(디(트리메틸실릴)아미도) 코발트(((Me3Si)2N)3Co), 이들의 유도체들, 이들의 복합체들, 이들의 플라즈마들, 또는 이들의 조합물들을 포함한다.
몇몇 예시적인 코발트 선구물질들은 메틸시클로펜타디에닐 코발트 비스(카보닐)(MeCpCo(CO)2), 에틸시클로펜타디에닐 코발트 비스(카보닐)(EtCpCo(CO)2), 펜타메틸시클로펜타디에닐 코발트 비스(카보닐)(Me5CpCo(CO)2), 디코발트 옥타(카보닐)(Co2(CO)8), 니트로실 코발트 트리스(카보닐)((ON)Co(CO)3), 비스(시클로펜타디에닐) 코발트, (시클로펜타디에닐) 코발트 (시클로헥사디에닐), 시클로펜타디에닐 코발트 (1,3-헥사디에닐), (시클로부타디에닐) 코발트 (시클로펜타디에닐), 비스(메틸시클로펜타디에닐) 코발트, (시클로펜타디에닐) 코발트 (5-메틸시클로펜타디에닐), 비스(에틸렌) 코발트 (펜타메틸시클로펜타디에닐), 코발트 테트라카보닐 요오드화물, 코발트 테트라카보닐 트리클로로실란, 카보닐 염화물 트리스(트리메틸포르파인) 코발트, 코발트 트리카보닐-하이드로트리부틸포스핀, 아세틸렌 디코발트 헥사카보닐, 아세틸렌 디코발트 펜타카보닐 트리에틸포스핀, 이들의 유도체들, 이들의 복합물들, 이들의 플라즈마들, 또는 이들의 조합물들을 포함한다.
몇몇 실시예들에서, 수소(예를 들어, H2 또는 원자-H), 질소(예를 들어, N2 또는 원자-N), 암모니아(NH3), 히드라진(N2H4), 수소 및 암모니아 혼합물(H2/NH3), 보란(BH3), 디보란(B2H6), 트리에틸보란(Et3B), 시레인(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 테트라실란(Si4H10), 메틸 실란(SiCH6), 디메틸실란(SiC2H8), 인화수소(PH3), 이들의 유도체들, 이들의 플라즈마들, 또는 이들의 조합물들을 포함하는, 본 명세서에 개시되는 프로세스들에 의하여 코발트 물질들(예를 들어, 금속 코발트 또는 코발트 합금들)을 형성하면서, 환원제들을 포함하는 대안적인 시약들이 코발트 선구물질들과 작용하도록 사용될 수 있다.
일 실시예에서, 열적 CVD 프로세스 동안에 환원제 및 코발트 선구물질 가스에 기판(200)을 동시에 노출시킴으로써 금속 코발트를 포함하는 코발트층(220)이 증착된다. 대안적인 실시예에서, 금속 코발트를 포함하는 코발트층(220)은 플라즈마 강화 CVD 프로세스 동안에 환원제 가스 및 코발트 선구물질 가스에 동시에 기판(200)을 노출시킴으로써 증착된다. 플라즈마 소스는 CVD 챔버 내의 인 시튜 플라즈마 소스 또는 CVD 챔버 외부에 위치되는 RPS일 수 있다. 코발트 선구물질 가스는 코발트 선구물질(예를 들어, CCTBA)의 앰플을 통해 캐리어 가스(예를 들어, 질소 또는 아르곤)를 전달함으로써 형성될 수 있다. 환원제 가스는 단일 화합물(예를 들어, H2)일 수 있고, 따라서 캐리어 가스를 갖지 않을 수 있다. 대안적으로, 환원제 가스는 환원제의 앰플을 통해 캐리어 가스를 전달함으로써 형성될 수 있다.
앰플은 프로세스 동안에 사용되는 환원제 또는 코발트 선구물질에 따라 가열될 수 있다. 일 실시예에서, 디코발트 헥사카보닐 아세틸 화합물 또는 다른 코발트 카보닐 화합물(예를 들어, (CO)xCoyLz)과 같은 코발트 선구물질을 포함하는 앰플은 약 30℃ 내지 약 500℃ 범위 내의 온도로 가열될 수 있다. 코발트 선구물질 가스는 일반적으로 약 100 sccm(standard cubic centimeters per minute) 내지 약 2000 sccm, 바람직하게는 약 200 sccm 내지 약 1000 sccm, 보다 바람직하게는 예컨대 약 500 sccm과 같은 약 300 sccm 내지 약 700 sccm 범위 내의 유량을 갖는다. 환원제 가스는 일반적으로 약 0.5 slm(standard liters per minute) 내지 약 10 slm, 바람직하게는 약 1 slm 내지 약 8 slm, 보다 바람직하게는 약 2 slm 내지 약 6 slm 범위 내의 유량을 갖는다. 일 실시예에서, 환원제 가스는 수소이며, 약 4 slm과 같은 약 2 slm 내지 약 6 slm 범위 내의 유량을 갖는다.
코발트 선구물질 가스 및 환원제 가스는 코발트층(220)을 증착하기 위한 증착 프로세싱 동안에 프로세싱 챔버에 진입하기 이전에, 그 동안에, 또는 그에 후속하여, 증착 가스를 형성하기 위해 조합될 수 있다. 기판(200)은 프로세싱 챔버 내에 위치되고, 약 25℃ 내지 약 800℃, 바람직하게는 약 50℃ 내지 약 400℃, 그리고 보다 바람직하게는 약 150℃와 같은 약 100℃ 내지 약 250℃ 범위 내의 온도로 가열될 수 있다. 미리 결정된 온도에서, 기판(200)은 약 0.1초 내지 약 120초, 바람직하게는 약 1초 내지 약 60초, 보다 바람직하게는 약 5초 내지 약 30초 범위 내의 시간 기간 동안에 코발트 선구물질 가스 및 환원제 가스를 포함하는 증착 가스에 노출될 수 있다. 예를 들어, 기판(200)은 CVD 프로세스 동안에 코발트층(220)을 형성하는 동안 프로세싱 챔버 내에서 약 10분 동안 약 150℃로 가열될 수 있다.
단계(140)에서, 코발트층(220)은 플라즈마 프로세스 또는 열적 프로세스와 같은 후-처리 프로세스에 선택적으로 노출될 수 있다. 플라즈마 또는 열적 후-처리 프로세스들 동안에 기판(200) 및 코발트층(220)에 노출될 수 있는 프로세스 가스들 및/또는 시약들은 수소(예를 들어, H2 또는 원자-H), 질소(예를 들어, N2 또는 원자-N), 암모니아(NH3), 수소 및 암모니아 혼합물(H2/NH3), 히드라진(N2H4), 시레인(SiH4), 디실란(Si2H6), 헬륨, 아르곤, 이들의 유도체들, 이들의 플라즈마들, 또는 이들의 조합물들을 포함한다. 프로세스 가스는 예를 들어, 약 500 sccm 내지 약 10 slm 범위, 바람직하게는 예컨대 약 3 slm과 같은 약 1 slm 내지 약 6 slm 범위 내의 유량을 갖는 기판에 노출되거나 프로세싱 챔버로 흐를 수 있다.
일 실시예에서, 기판(200) 및 코발트층(220)은 단계(140)에서 후-처리 프로세스 동안에 코발트층(220)으로부터 오염물질들을 제거하기 위하여 플라즈마에 노출된다. 기판(200)은 프로세싱 챔버 내에 위치되고, 플라즈마를 형성하기 위하여 점화되는 프로세스 가스에 노출될 수 있다. 프로세스 가스는 하나의 가스 화합물 또는 다수의 가스 화합물들을 포함할 수 있다. 기판(200)은 실온(예를 들어, 23℃)에 있을 수 있으나, 일반적으로 후속 증착 프로세스의 원하는 온도로 미리 가열된다. 기판(200)은 약 100℃ 내지 약 400℃, 바람직하게는 약 125℃ 내지 약 350℃, 보다 바람직하게는 약 200℃ 또는 약 250℃와 같은 약 150℃ 내지 약 300℃ 범위 내의 온도로 가열될 수 있다.
프로세싱 챔버는 인 시튜 플라즈마를 생성하거나 또는 RPS를 구비할 수 있다. 일 실시예에서, 기판(200)은 약 0.5초 내지 약 90초, 바람직하게는 약 10초 내지 약 60초, 보다 바람직하게는 약 20초 내지 약 40초 범위 내의 시간 기간 동안 (예를 들어, 인 시튜 또는 원격으로) 플라즈마에 노출될 수 있다. 플라즈마는 약 100와트 내지 약 1000 와트, 바람직하게는 약 200 와트 내지 약 600 와트, 보다 바람직하게는 약 300 와트 내지 약 500 와트의 범위 내의 전력에서 생성될 수 있다. 프로세싱 챔버는 일반적으로 약 0.1 Torr 내지 약 100 Torr, 바람직하게는 약 0.5 Torr 내지 약 50 Torr, 보다 바람직하게는 약 1 Torr 내지 약 10 Torr 범위 내와 같은, 약 100 Torr 미만의 내부 압력을 갖는다.
일 실시예에서, 기판(200) 및 코발트층(220)은 수소, 암모니아, 질소, 또는 이들의 혼합물들로부터 생성되는 플라즈마에 노출될 수 있다. 다른 실시예에서, 기판(200) 및 코발트층(220)은 수소 및 암모니아로부터 생성되는 플라즈마에 노출될 수 있다. 다른 실시예에서, 기판(200) 및 코발트층(220)은 수소, 질소, 시레인, 디실란, 또는 이들의 혼합물로부터 생성되는 플라즈마에 노출될 수 있다. 다른 실시예에서, 기판(200) 및 코발트층(220)은 수소, 질소, 아르곤, 헬륨, 또는 이들의 혼합물들로부터 생성되는 플라즈마에 노출될 수 있다.
몇몇 실시예들에서, 기판(200) 및 코발트층(220)은 RPS에 의하여 점화되는 수소 가스로부터 생성되는 수소 플라즈마에 노출될 수 있다. 코발트층(220)은 약 2 slm 내지 약 4 slm 범위 내의 유량으로 수소 가스에 노출될 수 있다. 프로세싱 챔버는 약 1 Torr 내지 약 10 Torr 범위 내의 내부 압력을 가질 수 있으며, 플라즈마는 약 300 와트 내지 약 500 와트 범위 내의 전력을 갖는 RPS에 의하여 점화된다. 일 실시예에서, 플라즈마는 약 7Å 내지 약 10Å 범위 내의 두께를 갖는 코발트 물질의 모든 증착된 층에 대하여 약 20초 내지 약 40초 범위 내의 시간 기간 동안 코발트층(220)에 노출될 수 있다. 다수의 처리들은 코발트층(220)을 형성하는 동안 증착된 코발트 물질의 다수의 층들과 순차적으로 수행될 수 있다.
다른 실시예에서, 기판(200) 및 코발트층(220)은 단계(140)에서 열적 후-처리 프로세스 동안에 코발트층(220)으로부터 오염물질들을 제거하기 위하여 프로세스 가스에 노출된다. 열적 후-처리 프로세스는 RTP 또는 RTA 프로세스일 수 있다. 기판(200)은 프로세싱 챔버 내에 위치되고, 적어도 하나의 프로세스 가스 및/또는 시약에 노출될 수 있다. 프로세싱 챔버는 증착 프로세스 이전에 사용된, 또는 PVD 챔버, CVD 챔버, 또는 ALD 챔버와 같은 후속 증착 프로세스에 대하여 사용될 증착 챔버일 수 있다. 대안적으로, 프로세싱 챔버는 캘리포니아 산타 클라라의 어플라이드 머티리얼스 사로부터 상업적으로 이용가능한 RADIANCE® RTA 챔버와 같은 열적 어닐링 챔버일 수 있다. 기판(200)은 약 25℃ 내지 약 800℃, 바람직하게는 약 50℃ 내지 약 400℃, 보다 바람직하게는 약 100℃ 내지 약 300℃ 범위 내의 온도로 가열될 수 있다. 기판(200)은 약 2분 내지 약 20분, 바람직하게는 약 5분 내지 약 15분 범위 내의 시간 기간 동안 가열될 수 있다. 예를 들어, 기판(200)은 프로세싱 챔버 내에서 약 12분 동안 약 400℃로 가열될 수 있다.
일 실시예에서, 기판(200) 및 코발트층(220)은 프로세싱 챔버 내에서 가열되는 동안 수소, 암모니아, 질소, 또는 이들의 혼합물들에 노출될 수 있다. 다른 실시예에서, 기판(200) 및 코발트층(220)은 프로세싱 챔버 내에서 가열되는 동안 암모니아/수소 혼합물에 노출될 수 있다. 다른 실시예에서, 기판(200) 및 코발트층(220)은 프로세싱 챔버 내에서 가열되는 동안 수소, 질소, 시레인, 디실란, 또는 이들의 혼합물들에 노출될 수 있다. 다른 실시예에서, 기판(200) 및 코발트층(220)은 프로세싱 챔버 내에서 가열되는 동안 수소, 질소, 아르곤, 헬륨, 또는 이들의 혼합물에 노출될 수 있다.
도 2c는 기판(200)상에 유전체층(204) 내에 형성되는 개구(206)를 도시한다. 개구(206)는 내부에 등각으로 배치되는 배리어층(210) 및 코발트층(220)을 포함한다. 다른 실시예에서, 프로세스(100)의 단계(150) 동안에, 도전성층은 코발트층(220) 위에 또는 상부에 증착되거나 형성될 수 있다. 일 실시예에서, 도전성층은 도 2d에 도시되는 바와 같이, 코발트층(220) 위에 직접 증착될 수 있는 벌크층(204)이다. 대안적으로, 다른 실시예에서, 도전성층은 시드층(230) 및 벌크층(240)이다. 시드층(230)은 코발트층(220) 위에 증착될 수 있으며, 후속하여, 벌크층(240)은 도 2e-2f에 도시되는 바와 같이 시드층(230) 위에 증착될 수 있다.
시드층(230) 및 벌크층(240)은 단일 증착 프로세스 또는 다수의 증착 프로세스들 동안에 증착되거나 형성될 수 있다. 시드층(230)은 구리, 텅스텐, 알루미늄, 류테늄, 코발트, 은, 백금, 팔라듐, 이들의 합금들, 이들의 유도체들 또는 이들의 조합물들을 포함할 수 있다. 벌크층(240)은 구리, 텅스텐, 알루미늄, 이들의 합금들, 이들의 유도체들, 또는 이들의 조합물들을 포함할 수 있다. 일반적으로, 시드층(230) 및 벌크층(240)은 독립적으로 구리, 텅스텐, 알루미늄, 이들의 합금들, 이들의 유도체들 또는 이들의 조합물들을 포함할 수 있다. 시드층(230) 및 벌크층(240)은 CVD 프로세스, ALD 프로세스, PVD 프로세스, 비전착성 증착 프로세스, ECP 프로세스, 이들의 유도체들, 및 이들의 조합물들과 같은 하나 이상의 증착 프로세스를 사용함으로써 독립적으로 증착될 수 있다.
일 실시예에서, 각각의 시드층(230) 및 벌크층(240)은 구리 또는 구리 합금을 포함한다. 예를 들어, 구리를 포함하는 시드층(230)은 PVD 프로세스에 의하여 코발트층(220)상에 형성될 수 있고, 그 후에 구리를 포함하는 벌크층(240)이 비전착성 증착 프로세스 또는 ECP 프로세스에 의하여 개구(206)를 충진하도록 증착될 수 있다. 다른 실시예에서, 구리를 포함하는 시드층(230)은 ALD 프로세스에 의하여 코발트층(220)상에 형성될 수 있으며, 그 후에 구리를 포함하는 벌크층(240)은 ECP 프로세스 또는 비전착성 증착 프로세스에 의하여 개구(206)를 충진하기 위하여 증착될 수 있다. 다른 실시예에서, 구리를 포함하는 시드층(230)은 CVD 프로세스에 의하여 코발트층(220)상에 형성될 수 있으며, 그 후에 구리를 포함하는 벌크층(240)은 ECP 프로세스 또는 비전착성 증착 프로세스에 의하여 개구(206)를 충진하기 위하여 증착될 수 있다. 다른 실시예에서, 구리를 포함하는 시드층(230)은 비전착성 프로세스에 의하여 코발트층(220)상에 형성될 수 있으며, 그 후에 구리를 포함하는 벌크층(240)은 ECP 프로세스 또는 비전착성 증착 프로세스에 의하여 개구(206)를 충진하기 위하여 증착될 수 있다. 다른 실시예에서, 코발트층(220)은 구리를 포함하는 벌크층(240)이 ECP 프로세스 또는 비전착성 증착 프로세스에 의하여 개구(206)를 충진시키기 위하여 직접 증착될 수 있는 시드층의 역할을 한다.
일 실시예에서, 각각의 시드층(230) 및 벌크층(240)은 텅스텐 또는 텅스텐 합금을 포함한다. 예를 들어, 텅스텐을 포함하는 시드층(230)이 PVD 프로세스에 의하여 코발트층(220)상에 형성될 수 있고, 그 이후에, 텅스텐을 포함하는 벌크층(240)이 CVD 프로세스 또는 펄스형-CVD 프로세스에 의하여 개구(206)를 충진하기 위하여 증착될 수 있다. 다른 실시예에서, 텅스텐을 포함하는 시드층(230)이 ALD 프로세스에 의하여 코발트층(220)상에 형성될 수 있고, 그 이후에, 텅스텐을 포함하는 벌크층(240)이 CVD 프로세스 또는 펄스형-CVD 프로세스에 의하여 개구(206)를 충진하기 위하여 증착될 수 있다. 다른 실시예에서, 텅스텐을 포함하는 시드층(230)이 펄스형-CVD 프로세스에 의하여 코발트층(220)상에 형성될 수 있고, 그 이후에, 텅스텐을 포함하는 벌크층(240)이 CVD 프로세스 또는 펄스형-CVD 프로세스에 의하여 개구(206)를 충진하기 위하여 증착될 수 있다. 다른 실시예에서, 텅스텐을 포함하는 시드층(230)이 비전착성 프로세스에 의하여 코발트층(220)상에 형성될 수 있고, 그 이후에, 텅스텐을 포함하는 벌크층(240)이 CVD 프로세스 또는 펄스형-CVD 프로세스에 의하여 개구(206)를 충진하기 위하여 증착될 수 있다. 다른 실시예에서, 코발트층(220)은 CVD 프로세스 또는 펄스형-CVD 프로세스에 의하여 개구(206)를 충진시키기 위하여 텅스텐을 포함하는 벌크층(240)이 직접 증착될 수 있는 시드층의 역할을 한다.
본 명세서에 개시되는 실시예들 동안에 사용되는 ALD 프로세싱 챔버는 캘리포니아 산타 클라라에 위치된 어플라이드 머티리얼스 사로부터 이용가능하다. ALD 프로세싱 챔버의 상세한 설명은 공동으로 양도된 미국 특허 번호 6,916,398 및 6,878,206, 2002년 10월 25일자로 출원되고 미국 공개 번호 2003-0121608로서 발행된 공동으로 양도된 미국 일련 번호 10/281,079, 및 각각 2006년 11월 6일자로 출원되고 미국 공개 번호들 2007-0119379, 2007-0119371, 2007-0128862, 2007-0128863, 및 2007-0128864로서 발행된 공동으로 양도된 미국 일련 번호들 11/556,745, 11/556,752, 11/556,756, 11/556,758, 11/556,763에서 발견될 수 있으며, 그 모든 내용은 본 명세서에 참조로서 통합된다. 다른 실시예에서, ALD 모드 뿐 아니라 종래의 CVD 모드에서도 작동하도록 구성되는 챔버는 공동으로 양도된 미국 특허 번호 7,204,886에 개시되는 코발트-포함 물질들을 증착하는데 사용될 수 있으며, 상기 특허의 모든 내용은 본 명세서에 참조로서 통합된다. 코발트-포함 물질들을 형성하기 위한 ALD 프로세스의 상세한 설명은 공동으로 양도된 미국 특허 번호 7,264,846 및 7,404,985에 추가로 개시되며, 그 모든 내용은 본 명세서에 참조로서 통합된다. 다른 실시예들에서, 코발트-포함 물질들을 증착하는데 사용될 수 있는 종래의 CVD 모드 뿐 아니라 ALD 모드에서도 작동하도록 구성되는 챔버는 캘리포니아 산타 클라라에 위치되는 어플라이드 머티리얼스 사로부터 이용가능한 CVD 챔버 및 TXZ® 샤워헤드이다. 적절한 기상 증착 챔버의 일 실시예는 캘리포니아 산타 클라라에 위치되는 어플라이드 머티리얼스 사로부터 이용가능한 WXZ™ CVD 챔버를 포함한다. 기상 증착 챔버는 ALD 및 PE-ALD 기술들 뿐 아니라, 종래의 CVD, 펄스형-CVD, 또는 PE-CVD 기술들에 의하여 물질들을 증착하도록 적응될 수 있다. 또한, 기상 증착 챔버는 인 시튜 플라즈마 프로세스, 원격 플라즈마 프로세스, 또는 열적 어닐링 프로세스와 같이, 처리 프로세스들에 대하여 사용될 수 있다.
본 명세서에서 사용될 때, "기판 표면" 또는 "기판"은 임의의 기판 또는 막 프로세싱이 제작 프로세스 동안에 수행되는 기판상에 형성되는 물질 표면을 지칭한다. 예를 들어, 프로세싱이 수행될 수 있는 기판 표면은 단결정, 다결정, 또는 비정질 실리콘, 스트레인드(strained) 실리콘, 절연체상 실리콘(SOI: silicon on insulator), 도핑된 실리콘, 실리콘 게르마늄, 게르마늄, 갈륨 비화물, 유리, 사파이어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 및/또는 예를 들어, 캘리포니아 산타 클라라에 위치되는 어플라이드 머티리얼스 사로부터 이용가능한 BLACK DIAMOND® 로우-k 유전체와 같은, SiOxCy와 같은 탄소 도핑된 실리콘 산화물들과 같은 물질들을 포함한다. 기판들은 직사각형 또는 정사각형 판유리(pane)들 뿐 아니라, 100 mm, 200 mm, 300 mm, 또는 450 mm 직경 웨이퍼들과 같은 다양한 치수들을 가질 수 있다. 달리 언급되지 않는 한, 본 명세서에 개시되는 실시예들 및 예시들은 일반적으로 200mm 직경 또는 300mm 직경, 보다 바람직하게는 300 mm 직경을 갖는 기판들상에 수행된다. 본 명세서에 개시되는 실시예들의 프로세스들은 다수의 기판들 및 표면들상에, 특히 배리어층들 및 층들상에 코발트 물질들(예를 들어, 금속 코발트)을 증착하기 위하여 사용될 수 있다. 본 발명의 실시예들이 유용할 수 있는 기판들은 결정형 실리콘(예를 들어, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드 실리콘, 실리콘 게르마늄, 도핑된 또는 비-도핑된 폴리실리콘, 도핑된 또는 비-도핑된 실리콘 웨이퍼들, 및 패터닝된 또는 비-패터닝된 웨이퍼들과 같은 반도체 웨이퍼들을 포함하나 이에 제한되지 않는다. 기판들은 기판 또는 기판 표면을 연마, 에칭, 환원, 산화, 수산화, 가열, 및/또는 어닐링하기 위하여 전-처리 프로세스에 노출될 수 있다.
전술한 내용은 본 발명의 실시예들에 관한 것이나, 본 발명의 다른 그리고 추가적인 실시예들은 본 발명의 기본적인 범위를 벗어나지 않고 변경될 수 있으며, 그것의 범위는 하기의 청구항들에 의하여 결정된다.

Claims (15)

  1. 기판 표면상에 물질들을 증착하기 위한 방법으로서,
    기판상에 배리어층을 형성하는 단계;
    기상 증착 프로세스 동안에 상기 배리어층상에 코발트층을 형성하기 위하여 디코발트 헥사카보닐 부틸아세틸렌(CCTBA: dicobalt hexacarbonyl butylacetylene) 및 수소에 상기 기판을 노출시키는 단계; 및
    상기 코발트층 위에 도전성 물질을 증착하는 단계
    를 포함하는, 기판 표면상에 물질들을 증착하기 위한 방법.
  2. 제1항에 있어서,
    처리 프로세스 동안에 상기 배리어층 또는 상기 코발트층을 플라즈마에 노출시키는 단계를 더 포함하며,
    상기 플라즈마는 질소(N2), 암모니아(NH3), 수소(H2), 암모니아/수소 혼합물, 이들의 유도체들, 및 이들의 조합물들로 구성되는 그룹으로부터 선택되는 시약을 포함하는, 기판 표면상에 물질들을 증착하기 위한 방법.
  3. 제2항에 있어서,
    상기 배리어층 또는 상기 코발트층은 약 20초 내지 약 40초 범위 내의 시간 기간 동안에 수소 플라즈마에 노출되며, 상기 수소 플라즈마는 원격 플라즈마 소스에 의하여 형성되는, 기판 표면상에 물질들을 증착하기 위한 방법.
  4. 제1항에 있어서,
    상기 배리어층 또는 상기 코발트층을 열적 처리 프로세스 동안에 가스에 노출시키는 단계를 더 포함하고,
    상기 가스는 질소(N2), 암모니아(NH3), 수소(H2), 암모니아/수소 혼합물, 이들의 유도체들, 및 이들의 조합물들로 구성되는 그룹으로부터 선택되며,
    상기 기판은 상기 열적 처리 프로세스 동안에 약 50℃ 내지 약 400℃ 범위 내의 온도로 가열되는, 기판 표면상에 물질들을 증착하기 위한 방법.
  5. 제1항에 있어서,
    상기 기판은 열적 화학 기상 증착 프로세스 동안에 상기 CCTBA 및 상기 수소를 포함하는 증착 가스에 노출되며,
    상기 기판은 상기 열적 화학 기상 증착 프로세스 동안에 약 100℃ 내지 약 250℃ 범위 내의 온도로 가열되는, 기판 표면상에 물질들을 증착하기 위한 방법.
  6. 제1항에 있어서,
    상기 기상 증착 프로세스는 원자층 증착 프로세스 동안에 상기 CCTBA 및 상기 수소에 상기 기판을 순차적으로 노출시키는 단계를 포함하는, 기판 표면상에 물질들을 증착하기 위한 방법.
  7. 제1항에 있어서,
    상기 배리어층은 탄탈룸, 탄탈룸 질화물, 티타늄, 티타늄 질화물, 텅스텐, 텅스텐 질화물, 이들의 합금들, 이들의 유도체들, 및 이들의 조합물들을 포함하는, 기판 표면상에 물질들을 증착하기 위한 방법.
  8. 제1항에 있어서,
    상기 도전성 물질은 구리 및 구리 합금을 포함하고, 상기 도전성 물질은 시드(seed)층 및 벌크(bulk)층을 포함하는, 기판 표면상에 물질들을 증착하기 위한 방법.
  9. 제8항에 있어서,
    상기 시드층은 구리를 포함하고, 물리 기상 증착 프로세스 또는 화학 기상 증착 프로세스에 의하여 증착되며,
    상기 벌크층은 구리를 포함하고, 전기 화학적 도금 프로세스에 의하여 증착되는, 기판 표면상에 물질들을 증착하기 위한 방법.
  10. 기판 표면상에 물질들을 증착하기 위한 방법으로서,
    기판상에 배리어층을 형성하는 단계;
    전-처리 프로세스 동안에 제1 플라즈마에 상기 배리어층을 노출시키는 단계;
    기상 증착 프로세스 동안에 상기 배리어층상에 코발트층을 형성하기 위하여 디코발트 헥사카보닐 부틸아세틸렌(CCTBA) 및 수소에 상기 기판을 노출시키는 단계;
    후-처리 프로세스 동안에 제2 플라즈마에 상기 코발트층을 노출시키는 단계; 및
    기상 증착 프로세스에 의하여 상기 코발트층상에 구리층을 증착하는 단계
    를 포함하는, 기판 표면상에 물질들을 증착하기 위한 방법.
  11. 제10항에 있어서,
    상기 제1 플라즈마 또는 상기 제2 플라즈마는 독립적으로 질소(N2), 암모니아(NH3), 수소(H2), 아르곤, 헬륨, 암모니아/수소 혼합물, 이들의 유도체들, 및 이들의 조합물들로 구성되는 그룹으로부터 선택되는 가스를 포함하는, 기판 표면상에 물질들을 증착하기 위한 방법.
  12. 제11항에 있어서,
    약 20초 내지 약 40초 범위 내의 시간 기간 동안 상기 배리어층이 상기 제1 플라즈마에 노출되거나, 상기 코발트층이 상기 제2 플라즈마에 노출되며, 상기 제1 플라즈마 및 상기 제2 플라즈마는 원격 플라즈마 소스에 의하여 형성되는, 기판 표면상에 물질들을 증착하기 위한 방법.
  13. 제10항에 있어서,
    상기 기상 증착 프로세스는 열적 화학 기상 증착 프로세스 동안에 상기 CCTBA 및 상기 수소를 포함하는 증착 가스에 상기 기판을 노출시키는 단계를 포함하며,
    상기 기판은 상기 열적 화학 기상 증착 프로세스 동안에 약 100℃ 내지 약 250℃ 범위 내의 온도로 가열되는, 기판 표면상에 물질들을 증착하기 위한 방법.
  14. 제10항에 있어서,
    상기 기상 증착 프로세스는 원자층 증착 프로세스 동안에 상기 CCTBA 및 상기 수소에 상기 기판을 순차적으로 노출시키는 단계를 포함하는, 기판 표면상에 물질들을 증착하기 위한 방법.
  15. 기판 표면상에 물질들을 증착하기 위한 방법으로서,
    기판상에 배리어층을 형성하는 단계;
    전-처리 프로세스 동안에 플라즈마에 상기 배리어층을 노출시키는 단계;
    기상 증착 프로세스 동안에 상기 배리어층상에 코발트층을 형성하기 위하여 디코발트 헥사카보닐 부틸아세틸렌(CCTBA) 및 환원 가스에 상기 기판을 노출시키는 단계;
    후-처리 프로세스 동안에 수소 플라즈마에 상기 코발트층을 노출시키는 단계; 및
    상기 코발트층 위에 구리 물질을 증착하는 단계
    를 포함하는, 기판 표면상에 물질들을 증착하기 위한 방법.
KR1020117007281A 2008-08-29 2009-08-19 배리어 표면들 상의 코발트 증착 KR101599488B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/201,976 2008-08-29
US12/201,976 US9051641B2 (en) 2001-07-25 2008-08-29 Cobalt deposition on barrier surfaces

Publications (2)

Publication Number Publication Date
KR20110059741A true KR20110059741A (ko) 2011-06-03
KR101599488B1 KR101599488B1 (ko) 2016-03-07

Family

ID=41722231

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117007281A KR101599488B1 (ko) 2008-08-29 2009-08-19 배리어 표면들 상의 코발트 증착

Country Status (6)

Country Link
US (2) US9051641B2 (ko)
JP (2) JP2012501543A (ko)
KR (1) KR101599488B1 (ko)
CN (2) CN102132383A (ko)
TW (2) TWI654684B (ko)
WO (1) WO2010025068A2 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160134544A (ko) * 2015-05-13 2016-11-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 증착 공정에서 화학적 전구체를 위한 용기
KR20160142357A (ko) * 2014-04-07 2016-12-12 엔테그리스, 아이엔씨. 코발트 cvd
US9842769B2 (en) 2012-03-28 2017-12-12 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
CN110649155A (zh) * 2018-06-27 2020-01-03 台湾积体电路制造股份有限公司 半导体结构及其形成方法
KR20200034004A (ko) * 2016-08-14 2020-03-30 엔테그리스, 아이엔씨. 응력을 감소시키기 위한 Co 합금

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US8791018B2 (en) 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
DE102009023381A1 (de) * 2009-05-29 2010-12-02 Grega, Samuel Verfahren zur Herstellung von W-, Cr-, Mo-Schichten, deren Carbiden, Nitriden, Siliciden, mehrschichtigen Strukturen und Verbindungsstrukturen auf festen Substraten und Vorrichtung für deren Herstellung
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR20120046786A (ko) 2009-09-02 2012-05-10 가부시키가이샤 알박 Co 막의 형성 방법 및 Cu 배선막의 형성 방법
US10128261B2 (en) 2010-06-30 2018-11-13 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
JP5680892B2 (ja) * 2010-07-13 2015-03-04 株式会社アルバック Co膜形成方法
US9926639B2 (en) 2010-07-16 2018-03-27 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US20120141667A1 (en) * 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
KR101223724B1 (ko) * 2010-10-25 2013-01-17 삼성디스플레이 주식회사 전자소자용 보호막 및 그 제조 방법
JP2012174845A (ja) * 2011-02-21 2012-09-10 Tokyo Electron Ltd 成膜方法及び半導体装置の製造方法
JP2012175073A (ja) * 2011-02-24 2012-09-10 Tokyo Electron Ltd 成膜方法および記憶媒体
JP5659041B2 (ja) * 2011-02-24 2015-01-28 東京エレクトロン株式会社 成膜方法および記憶媒体
KR101843609B1 (ko) 2011-03-04 2018-05-14 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
TWI602283B (zh) 2012-03-27 2017-10-11 諾發系統有限公司 鎢特徵部塡充
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8736056B2 (en) * 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US9540408B2 (en) 2012-09-25 2017-01-10 Entegris, Inc. Cobalt precursors for low temperature ALD or CVD of cobalt-based thin films
JP2014101564A (ja) * 2012-11-21 2014-06-05 Ulvac Japan Ltd コバルト膜の形成方法
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
JP6310653B2 (ja) * 2013-07-08 2018-04-11 株式会社アルバック Cu配線構造の形成方法
US9218980B2 (en) * 2013-09-13 2015-12-22 Applied Materials, Inc. Surface treatment to improve CCTBA based CVD co nucleation on dielectric substrate
CN105518827B (zh) * 2013-09-27 2019-06-14 应用材料公司 实现无缝钴间隙填充的方法
US9090964B2 (en) * 2013-12-19 2015-07-28 Intel Corporation Additives to improve the performance of a precursor source for cobalt deposition
US9425155B2 (en) * 2014-02-25 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer bonding process and structure
JP6268008B2 (ja) * 2014-03-17 2018-01-24 東京エレクトロン株式会社 Cu配線の製造方法
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP2015224227A (ja) * 2014-05-28 2015-12-14 宇部興産株式会社 (アセチレン)ジコバルトヘキサカルボニル化合物の製造方法
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9487860B2 (en) 2014-11-10 2016-11-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming cobalt containing films
US10741572B2 (en) 2015-02-04 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device having multilayer word lines containing selectively grown cobalt or ruthenium and method of making the same
US9984963B2 (en) * 2015-02-04 2018-05-29 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9758896B2 (en) 2015-02-12 2017-09-12 Applied Materials, Inc. Forming cobalt interconnections on a substrate
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9589897B1 (en) * 2015-08-18 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Trench liner for removing impurities in a non-copper trench
US9741577B2 (en) * 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
US20170186944A1 (en) * 2015-12-29 2017-06-29 International Business Machines Corporation Enhancement of spin transfer torque magnetoresistive random access memory device using hydrogen plasma
US9738971B2 (en) 2015-12-31 2017-08-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition methods to form group 8-containing films
US9719167B2 (en) 2015-12-31 2017-08-01 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US10011903B2 (en) 2015-12-31 2018-07-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Manganese-containing film forming compositions, their synthesis, and use in film deposition
US10438847B2 (en) 2016-05-13 2019-10-08 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
JP2018073949A (ja) * 2016-10-27 2018-05-10 東京エレクトロン株式会社 金属配線層形成方法、金属配線層形成装置および記憶媒体
US20180135174A1 (en) * 2016-11-01 2018-05-17 Versum Materials Us, Llc Cobalt compounds, method of making and method of use thereof
US10600685B2 (en) * 2016-11-27 2020-03-24 Applied Materials, Inc. Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11807939B2 (en) 2017-07-18 2023-11-07 Kojundo Chemical Laboratory Co., Ltd. Atomic layer deposition method for metal thin films
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10079177B1 (en) 2017-09-01 2018-09-18 United Microelectronics Corp. Method for forming copper material over substrate
US10304732B2 (en) * 2017-09-21 2019-05-28 Applied Materials, Inc. Methods and apparatus for filling substrate features with cobalt
CN117936417A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
EP3768874A4 (en) 2018-03-19 2022-03-30 Applied Materials, Inc. METHODS FOR DEPOSITING COATINGS ON AEROSPACE ELEMENTS
US20190309422A1 (en) * 2018-04-06 2019-10-10 Versum Materials Us, Llc Spin-On Metallization
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200048760A1 (en) * 2018-08-13 2020-02-13 Applied Materials, Inc. High power impulse magnetron sputtering physical vapor deposition of tungsten films having improved bottom coverage
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
WO2020086175A1 (en) 2018-10-25 2020-04-30 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
WO2020101806A1 (en) * 2018-11-13 2020-05-22 Applied Materials, Inc. Selective deposition of metal silicides and selective oxide removal
JP2022510428A (ja) 2018-12-05 2022-01-26 ラム リサーチ コーポレーション ボイドフリーの低応力充填
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN113614274A (zh) 2019-03-22 2021-11-05 应用材料公司 用于沉积具有超导膜的多层器件的方法及装置
KR20210130261A (ko) * 2019-03-22 2021-10-29 어플라이드 머티어리얼스, 인코포레이티드 금속 질화물들의 증착을 위한 방법 및 장치
KR20200124351A (ko) 2019-04-23 2020-11-03 삼성전자주식회사 코발트 전구체, 이를 이용한 코발트 함유막의 제조 방법 및 이를 이용한 반도체 소자의 제조 방법
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
TWI753759B (zh) 2020-02-03 2022-01-21 美商應用材料股份有限公司 具有整合化氮化鋁種晶或波導層的超導奈米線單光子偵測器
TWI780579B (zh) 2020-02-03 2022-10-11 美商應用材料股份有限公司 具有整合化氮化鋁晶種或波導層的超導奈米線單光子偵測器
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US20210407852A1 (en) * 2020-06-25 2021-12-30 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device including liner structure
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
US11742282B2 (en) * 2020-08-07 2023-08-29 Micron Technology, Inc. Conductive interconnects
CN112201618A (zh) * 2020-09-30 2021-01-08 上海华力集成电路制造有限公司 一种优化衬垫层质量的方法
US20220228257A1 (en) 2021-01-21 2022-07-21 Taiwan Semiconductor Manufacturing Company Limited Tungsten deposition on a cobalt surface
US20230134230A1 (en) * 2021-11-01 2023-05-04 Applied Materials, Inc. Methods and apparatus for tungsten gap fill

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5913145A (en) * 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US20010003063A1 (en) * 1999-04-16 2001-06-07 Hu Yongjun Jeff Electrochemical cobalt silicide liner for metal contact fills and damascene processes
US6326306B1 (en) * 2001-02-15 2001-12-04 United Microelectronics Corp. Method of forming copper dual damascene structure
US20050136659A1 (en) * 2003-12-23 2005-06-23 Jong-Ho Yun Method of forming cobalt disilicide layer and method of manufacturing semiconductor device using the same

Family Cites Families (431)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
JPS5898917A (ja) 1981-12-09 1983-06-13 Seiko Epson Corp 原子層エビタキシヤル装置
JPS5898917U (ja) 1981-12-26 1983-07-05 株式会社フジ医療器 椅子式マツサ−ジ機に付設した腕引伸ばし装置
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US4500409A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Magnetron sputter coating source for both magnetic and non magnetic target materials
JPS6119883A (ja) 1984-07-06 1986-01-28 Asahi Chem Ind Co Ltd 複合構造物
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPS61174725A (ja) 1985-01-30 1986-08-06 Toshiba Corp 薄膜形成装置
JPH0547666Y2 (ko) 1985-03-15 1993-12-15
JPS61174725U (ko) 1985-04-22 1986-10-30
US5096364A (en) * 1986-04-28 1992-03-17 Varian Associates, Inc. Wafer arm handler mechanism
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
JPS6428921A (en) 1987-07-24 1989-01-31 Tokuda Seisakusho Plasma treatment device
US4814294A (en) * 1987-07-30 1989-03-21 Allied-Signal Inc. Method of growing cobalt silicide films by chemical vapor deposition
JPS6428921U (ko) 1987-08-12 1989-02-21
US4824544A (en) 1987-10-29 1989-04-25 International Business Machines Corporation Large area cathode lift-off sputter deposition device
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
FR2628985B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
JP2895506B2 (ja) 1989-05-12 1999-05-24 東京エレクトロン株式会社 スパッタ装置
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5122923A (en) 1989-08-30 1992-06-16 Nec Corporation Thin-film capacitors and process for manufacturing the same
JPH03140487A (ja) 1989-10-25 1991-06-14 Mitsubishi Electric Corp 電気化学反応器
EP0440377B1 (en) 1990-01-29 1998-03-18 Varian Associates, Inc. Collimated deposition apparatus and method
JPH03240944A (ja) 1990-02-17 1991-10-28 Masahiko Naoe アルミニウム薄膜形成用対向ターゲット式スパッタ法及び装置
US5320728A (en) 1990-03-30 1994-06-14 Applied Materials, Inc. Planar magnetron sputtering source producing improved coating thickness uniformity, step coverage and step coverage uniformity
US5242566A (en) 1990-04-23 1993-09-07 Applied Materials, Inc. Planar magnetron sputtering source enabling a controlled sputtering profile out to the target perimeter
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5178681A (en) 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP2680202B2 (ja) 1991-03-20 1997-11-19 国際電気株式会社 気相成長方法及び装置
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
JPH05234899A (ja) 1991-09-17 1993-09-10 Hitachi Ltd 原子層エピタキシー装置
JPH05195213A (ja) 1992-01-22 1993-08-03 Hitachi Ltd スパッタリング装置
JP3126787B2 (ja) 1992-01-30 2001-01-22 理化学研究所 成膜方法および成膜装置
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP3103186B2 (ja) 1992-03-19 2000-10-23 富士通株式会社 原子層エピタキシー装置および原子層エピタキシー法
US5660744A (en) 1992-03-26 1997-08-26 Kabushiki Kaisha Toshiba Plasma generating apparatus and surface processing apparatus
JPH05311419A (ja) 1992-04-01 1993-11-22 Nec Corp マグネトロン型スパッタ装置
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5335138A (en) 1993-02-12 1994-08-02 Micron Semiconductor, Inc. High dielectric constant capacitor and method of manufacture
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
TW271490B (ko) * 1993-05-05 1996-03-01 Varian Associates
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
KR960005377Y1 (ko) * 1993-06-24 1996-06-28 현대전자산업 주식회사 반도체 소자 제조용 스퍼터링 장치
US6171922B1 (en) * 1993-09-01 2001-01-09 National Semiconductor Corporation SiCr thin film resistors having improved temperature coefficients of resistance and sheet resistance
JPH07126844A (ja) 1993-11-01 1995-05-16 Tatsuo Asamaki スパッタ装置
KR100321536B1 (ko) 1993-12-28 2002-06-20 히가시 데쓰로 자전관스퍼터링또는자전관에칭용쌍극자고리자석
US5666247A (en) 1994-02-04 1997-09-09 Seagate Technology, Inc. No-field, low power FeMn deposition giving high exchange films
KR970009828B1 (en) 1994-02-23 1997-06-18 Sansung Electronics Co Ltd Fabrication method of collimator
JPH07300649A (ja) 1994-04-27 1995-11-14 Kobe Steel Ltd 耐摩耗性および耐酸化性に優れた硬質皮膜及び高硬度部材
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5504041A (en) 1994-08-01 1996-04-02 Texas Instruments Incorporated Conductive exotic-nitride barrier layer for high-dielectric-constant materials
JPH0860355A (ja) 1994-08-23 1996-03-05 Tel Varian Ltd 処理装置
JP2655094B2 (ja) 1994-08-30 1997-09-17 日本電気株式会社 電子銃蒸着装置
US5616218A (en) 1994-09-12 1997-04-01 Matereials Research Corporation Modification and selection of the magnetic properties of magnetic recording media through selective control of the crystal texture of the recording layer
EP0703598A1 (en) 1994-09-26 1996-03-27 Applied Materials, Inc. Electrode between sputtering target and workpiece
US5945008A (en) 1994-09-29 1999-08-31 Sony Corporation Method and apparatus for plasma control
JP2671835B2 (ja) 1994-10-20 1997-11-05 日本電気株式会社 スパッタ装置とその装置を用いた半導体装置の製造方法
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US5527438A (en) 1994-12-16 1996-06-18 Applied Materials, Inc. Cylindrical sputtering shield
JPH08186085A (ja) 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
US5663088A (en) 1995-05-19 1997-09-02 Micron Technology, Inc. Method of forming a Ta2 O5 dielectric layer with amorphous diffusion barrier layer and method of forming a capacitor having a Ta2 O5 dielectric layer and amorphous diffusion barrier layer
US5632873A (en) 1995-05-22 1997-05-27 Stevens; Joseph J. Two piece anti-stick clamp ring
US5780361A (en) 1995-06-23 1998-07-14 Nec Corporation Salicide process for selectively forming a monocobalt disilicide film on a silicon region
KR100292012B1 (ko) 1995-06-28 2001-11-15 엔, 마이클 그로브 실리콘에집적된강유전체커패시터를위한장벽층
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US5589039A (en) 1995-07-28 1996-12-31 Sony Corporation In-plane parallel bias magnetic field generator for sputter coating magnetic materials onto substrates
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US5650052A (en) 1995-10-04 1997-07-22 Edelstein; Sergio Variable cell size collimator
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
JPH09316643A (ja) 1996-02-15 1997-12-09 Mitsubishi Materials Corp 物理蒸着装置の防着部品
EP0793271A3 (en) 1996-02-22 1998-12-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device having a metal silicide film and method of fabricating the same
EP0799903A3 (en) 1996-04-05 1999-11-17 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5736021A (en) 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
TW351825B (en) * 1996-09-12 1999-02-01 Tokyo Electron Ltd Plasma process device
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6224312B1 (en) 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US5886864A (en) * 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
JP4142753B2 (ja) * 1996-12-26 2008-09-03 株式会社東芝 スパッタターゲット、スパッタ装置、半導体装置およびその製造方法
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6221766B1 (en) 1997-01-24 2001-04-24 Steag Rtp Systems, Inc. Method and apparatus for processing refractory metals on semiconductor substrates
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10308283A (ja) * 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
US5936831A (en) 1997-03-06 1999-08-10 Lucent Technologies Inc. Thin film tantalum oxide capacitors and resulting product
US5902129A (en) 1997-04-07 1999-05-11 Lsi Logic Corporation Process for forming improved cobalt silicide layer on integrated circuit structure using two capping layers
US6692617B1 (en) * 1997-05-08 2004-02-17 Applied Materials, Inc. Sustained self-sputtering reactor having an increased density plasma
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
FI972874A0 (fi) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6071055A (en) 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
JP3569133B2 (ja) 1997-10-29 2004-09-22 Necエレクトロニクス株式会社 半導体装置の製造方法
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6007403A (en) 1997-11-17 1999-12-28 Urspringer; Steven E. Flexible constrictor for inflatable bodies
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6074922A (en) 1998-03-13 2000-06-13 Taiwan Semiconductor Manufacturing Company Enhanced structure for salicide MOSFET
US6214731B1 (en) * 1998-03-25 2001-04-10 Advanced Micro Devices, Inc. Copper metalization with improved electromigration resistance
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
KR100282853B1 (ko) 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100278657B1 (ko) 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
JP3375302B2 (ja) * 1998-07-29 2003-02-10 東京エレクトロン株式会社 マグネトロンプラズマ処理装置および処理方法
US6592728B1 (en) 1998-08-04 2003-07-15 Veeco-Cvc, Inc. Dual collimated deposition apparatus and method of use
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
GB2340845B (en) 1998-08-19 2001-01-31 Kobe Steel Ltd Magnetron sputtering apparatus
KR20000022003A (ko) 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6132575A (en) 1998-09-28 2000-10-17 Alcatel Magnetron reactor for providing a high density, inductively coupled plasma source for sputtering metal and dielectric films
US6251759B1 (en) 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
TW442891B (en) 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
JP3580159B2 (ja) 1998-12-18 2004-10-20 東京エレクトロン株式会社 タングステン膜の成膜方法
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6165807A (en) 1999-01-25 2000-12-26 Taiwan Smiconductor Manufacturing Company Method for forming junction leakage monitor for mosfets with silicide contacts
US6225176B1 (en) 1999-02-22 2001-05-01 Advanced Micro Devices, Inc. Step drain and source junction formation
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6218298B1 (en) 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6365511B1 (en) * 1999-06-03 2002-04-02 Agere Systems Guardian Corp. Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
WO2000079576A1 (en) 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP4049978B2 (ja) 1999-09-15 2008-02-20 三星電子株式会社 メッキを用いた金属配線形成方法
US6326297B1 (en) 1999-09-30 2001-12-04 Novellus Systems, Inc. Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer
JP2001095821A (ja) 1999-09-30 2001-04-10 Lion Corp 歯間清掃具
TW515032B (en) 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
US6398929B1 (en) 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
DE60028394T2 (de) 1999-10-15 2007-03-29 Asm International N.V. Konforme auskleidungsschichten für damaszenmetallisierungen
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
KR100737901B1 (ko) 1999-10-15 2007-07-10 에이에스엠 인터내셔널 엔.브이. 민감한 표면에 나노적층박막을 증착하는 방법
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
KR100341849B1 (ko) 1999-11-05 2002-06-26 박종섭 반도체 소자의 금속 배선 형성 방법
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
WO2001038486A2 (en) * 1999-11-22 2001-05-31 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US6534404B1 (en) 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100330749B1 (ko) 1999-12-17 2002-04-03 서성기 반도체 박막증착장치
KR100705926B1 (ko) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
FI118474B (fi) 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6495854B1 (en) 1999-12-30 2002-12-17 International Business Machines Corporation Quantum computing with d-wave superconductors
US6475854B2 (en) 1999-12-30 2002-11-05 Applied Materials, Inc. Method of forming metal electrodes
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
CN1314225A (zh) * 2000-02-18 2001-09-26 德克萨斯仪器股份有限公司 铜镀层集成电路焊点的结构和方法
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
EP1266054B1 (en) * 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6274484B1 (en) 2000-03-17 2001-08-14 Taiwan Semiconductor Manufacturing Company Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6921712B2 (en) * 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
KR100427423B1 (ko) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
KR100387255B1 (ko) * 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6592942B1 (en) 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (ko) 2000-08-09 2006-10-02 삼성전자주식회사 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
KR100436941B1 (ko) 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP4333900B2 (ja) 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
TW511135B (en) 2000-12-06 2002-11-21 Angstron Systems Inc Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
WO2002045871A1 (en) 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
KR100434487B1 (ko) 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100400033B1 (ko) 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
KR100395766B1 (ko) 2001-02-12 2003-08-25 삼성전자주식회사 강유전체 기억 소자 및 그 형성 방법
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6369430B1 (en) 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
EP1677361A2 (en) 2001-04-02 2006-07-05 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
JP2005518088A (ja) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US7105444B2 (en) 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US20030015421A1 (en) * 2001-07-20 2003-01-23 Applied Materials, Inc. Collimated sputtering of cobalt
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
SG126681A1 (en) * 2001-07-25 2006-11-29 Inst Data Storage Oblique deposition apparatus
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
TW512504B (en) 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
WO2003038145A2 (en) 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
JP4342131B2 (ja) * 2001-10-30 2009-10-14 富士通マイクロエレクトロニクス株式会社 容量素子の製造方法及び半導体装置の製造方法
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US7063981B2 (en) 2002-01-30 2006-06-20 Asm International N.V. Active pulse monitoring in a chemical reactor
US6743340B2 (en) 2002-02-05 2004-06-01 Applied Materials, Inc. Sputtering of aligned magnetic materials and magnetic dipole ring used therefor
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6778762B1 (en) 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6743721B2 (en) 2002-06-10 2004-06-01 United Microelectronics Corp. Method and system for making cobalt silicide
US7005697B2 (en) * 2002-06-21 2006-02-28 Micron Technology, Inc. Method of forming a non-volatile electron storage memory and the resulting device
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
AU2003249028A1 (en) 2002-07-15 2004-02-02 Aviza Technology, Inc. Thermal processing apparatus and method for evacuating a process chamber
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR100475084B1 (ko) * 2002-08-02 2005-03-10 삼성전자주식회사 Dram 반도체 소자 및 그 제조방법
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
JP4188033B2 (ja) 2002-08-30 2008-11-26 本田技研工業株式会社 油圧緩衝機の取付構造
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6936528B2 (en) 2002-10-17 2005-08-30 Samsung Electronics Co., Ltd. Method of forming cobalt silicide film and method of manufacturing semiconductor device having cobalt silicide film
JP2004140315A (ja) 2002-10-17 2004-05-13 Samsung Electronics Co Ltd サリサイド工程を用いる半導体素子の製造方法
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
JP3992588B2 (ja) * 2002-10-23 2007-10-17 東京エレクトロン株式会社 成膜方法
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
JP4401656B2 (ja) * 2003-01-10 2010-01-20 パナソニック株式会社 半導体装置の製造方法
US6868859B2 (en) 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
US6994319B2 (en) 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
KR100485386B1 (ko) 2003-04-08 2005-04-27 삼성전자주식회사 금속막 증착용 조성물 및 이를 이용한 금속막 형성 방법
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US20040207093A1 (en) 2003-04-17 2004-10-21 Sey-Shing Sun Method of fabricating an alloy cap layer over CU wires to improve electromigration performance of CU interconnects
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US6881437B2 (en) 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
KR100539278B1 (ko) * 2003-09-22 2005-12-27 삼성전자주식회사 코발트 실리사이드막 형성 방법 및 반도체 장치의 제조방법.
US20050085031A1 (en) 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
CN1890401A (zh) * 2003-10-17 2007-01-03 应用材料公司 用含钴合金对铜进行选择性自引发无电镀覆
CN1314225C (zh) 2003-10-24 2007-05-02 中兴通讯股份有限公司 一种基于xml文档实现开放电信业务的方法
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7064224B1 (en) * 2005-02-04 2006-06-20 Air Products And Chemicals, Inc. Organometallic complexes and their use as precursors to deposit metal films
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
JP2007123853A (ja) 2005-09-28 2007-05-17 Ebara Corp 層形成方法、層形成装置、基材処理装置、配線形成方法、および基板の配線構造
US20070108063A1 (en) 2005-09-28 2007-05-17 Ebara Corporation Layer forming method, layer forming apparatus, workpiece processing apparatus, interconnect forming method, and substrate interconnect structure
JP5060037B2 (ja) * 2005-10-07 2012-10-31 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070210448A1 (en) * 2006-03-10 2007-09-13 International Business Machines Corporation Electroless cobalt-containing liner for middle-of-the-line (mol) applications
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5558815B2 (ja) 2006-06-30 2014-07-23 アプライド マテリアルズ インコーポレイテッド ナノ結晶の形成
CN101159253A (zh) 2007-10-31 2008-04-09 日月光半导体制造股份有限公司 凸块下金属层结构、晶圆结构与该晶圆结构的形成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5913145A (en) * 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US20010003063A1 (en) * 1999-04-16 2001-06-07 Hu Yongjun Jeff Electrochemical cobalt silicide liner for metal contact fills and damascene processes
US6326306B1 (en) * 2001-02-15 2001-12-04 United Microelectronics Corp. Method of forming copper dual damascene structure
US20050136659A1 (en) * 2003-12-23 2005-06-23 Jong-Ho Yun Method of forming cobalt disilicide layer and method of manufacturing semiconductor device using the same

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9842769B2 (en) 2012-03-28 2017-12-12 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
KR20170137960A (ko) * 2012-03-28 2017-12-13 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-필을 가능하게 하는 방법
US10269633B2 (en) 2012-03-28 2019-04-23 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
KR20190105141A (ko) * 2012-03-28 2019-09-11 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-필을 가능하게 하는 방법
KR20160142357A (ko) * 2014-04-07 2016-12-12 엔테그리스, 아이엔씨. 코발트 cvd
KR20160134544A (ko) * 2015-05-13 2016-11-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 증착 공정에서 화학적 전구체를 위한 용기
US10793947B2 (en) 2016-08-14 2020-10-06 Entegris, Inc. Alloys of Co to reduce stress
KR20200034004A (ko) * 2016-08-14 2020-03-30 엔테그리스, 아이엔씨. 응력을 감소시키기 위한 Co 합금
CN110649155A (zh) * 2018-06-27 2020-01-03 台湾积体电路制造股份有限公司 半导体结构及其形成方法
US11024801B2 (en) 2018-06-27 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Diffusion layer for magnetic tunnel junctions
KR20210089120A (ko) * 2018-06-27 2021-07-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 자기 터널 접합들을 위한 확산 층
CN110649155B (zh) * 2018-06-27 2022-11-29 台湾积体电路制造股份有限公司 半导体结构及其形成方法
US11696510B2 (en) 2018-06-27 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Diffusion layer for magnetic tunnel junctions

Also Published As

Publication number Publication date
TWI528456B (zh) 2016-04-01
US20150255333A1 (en) 2015-09-10
KR101599488B1 (ko) 2016-03-07
CN106024598A (zh) 2016-10-12
JP6449217B2 (ja) 2019-01-09
WO2010025068A2 (en) 2010-03-04
US9209074B2 (en) 2015-12-08
TW201017763A (en) 2010-05-01
JP2017085131A (ja) 2017-05-18
TW201312656A (zh) 2013-03-16
US20090053426A1 (en) 2009-02-26
CN106024598B (zh) 2020-11-20
US9051641B2 (en) 2015-06-09
WO2010025068A3 (en) 2010-05-14
TWI654684B (zh) 2019-03-21
CN102132383A (zh) 2011-07-20
JP2012501543A (ja) 2012-01-19

Similar Documents

Publication Publication Date Title
US11959167B2 (en) Selective cobalt deposition on copper surfaces
JP6449217B2 (ja) 障壁表面上のコバルト堆積
US8765601B2 (en) Post deposition treatments for CVD cobalt films
US7429402B2 (en) Ruthenium as an underlayer for tungsten film deposition
US20190378754A1 (en) Doping control of metal nitride films
US9048294B2 (en) Methods for depositing manganese and manganese nitrides
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials
KR20080101745A (ko) 텅스텐 재료들의 원자층 증착

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right