NL1009327C2 - Werkwijze en inrichting voor het overbrengen van wafers. - Google Patents

Werkwijze en inrichting voor het overbrengen van wafers. Download PDF

Info

Publication number
NL1009327C2
NL1009327C2 NL1009327A NL1009327A NL1009327C2 NL 1009327 C2 NL1009327 C2 NL 1009327C2 NL 1009327 A NL1009327 A NL 1009327A NL 1009327 A NL1009327 A NL 1009327A NL 1009327 C2 NL1009327 C2 NL 1009327C2
Authority
NL
Netherlands
Prior art keywords
cassette
opening
door
wafers
sluice chamber
Prior art date
Application number
NL1009327A
Other languages
English (en)
Inventor
Albert Hasper
Christianus Gerardus Ma Ridder
Jan Zinger
Gert-Jan Snijders
Theodorus Gerardus Oosterlaken
Frank Huussen
Cornelis Marinus Kooijman
Jack Herman Van Putten
Jeroen-Jan Stoutjesdijk
Original Assignee
Asm Int
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=19767261&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=NL1009327(C2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Asm Int filed Critical Asm Int
Priority to NL1009327A priority Critical patent/NL1009327C2/nl
Priority to JP2000553984A priority patent/JP3519687B2/ja
Priority to US09/719,334 priority patent/US6481945B1/en
Priority to EP99937847A priority patent/EP1082756B1/en
Priority to PCT/NL1999/000353 priority patent/WO1999065064A1/en
Priority to AU42933/99A priority patent/AU4293399A/en
Priority to KR10-2000-7013763A priority patent/KR100391750B1/ko
Priority to DE69935039T priority patent/DE69935039T2/de
Priority to TW088110717A priority patent/TW434777B/zh
Publication of NL1009327C2 publication Critical patent/NL1009327C2/nl
Application granted granted Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

Werkwijze en inrichting voor het overbrengen van wafers
De onderhavige uitvinding heeft betrekking op een inrichting voor het overplaatsen van wafers uit/naar cassettes, omvattende een sluiskamer met een eerste 5 opening voor het ontvangen van die cassettes en een tweede opening voor het verplaatsen van de wafers naar een behandelinrichting, welke openingen in lijn liggend aangebracht zijn, waarbij ten minste een deur aanwezig is voor het afsluiten van een van die openingen, welke deur ingericht is voor het ontvangen van de deur van die cassette. Een dergelijke inrichting is bekend uit WO 96/26874.
10 Bij het transport van wafers wordt gebruik gemaakt van cassettes voorzien van een verwijderbaar aangebracht deksel. Dit deksel kan in meer of mindere mate in gasdichte afsluiting ten opzichte van het overige deel van de cassette voorzien. Indien de wafers bijvoorbeeld in een oven behandeld moeten worden, worden de wafers uit de cassette genomen en in een waferrek geplaatst en wordt dit waferrek vervolgens met de 15 wafers in de oven gebracht. Na de behandeling worden de wafers met het rek uit de oven verwijderd en de wafers weer overgebracht naar de cassette en voor verdere behandeling getransporteerd.
In het algemeen bevinden behandelingsinrichtingen zoals ovens zich onder een gecontroleerde atmosfeer, bijvoorbeeld tijdens het laden of uitnemen een inerte 20 stikstofatmosfeer. Het is noodzakelijk de wafers eveneens in een dergelijke atmosfeer te brengen. Uit de stand der techniek zijn talrijke voorstellen bekend om de wafers in de gecontroleerde atmosfeer van de behandelingsinrichting te brengen alvorens deze de ruimte waarin de behandelingsinrichting aangebracht is binnentreden.
Een eerste voorstel omvat het op de cassettes aanbrengen van een aansluiting. 25 Deze aansluiting grijpt in de opslag voor cassettes, welke opslag enkele tientallen cassettes kan omvatten, aan op een in de opslag aangebracht koppelstuk en na verbinding van de cassette en de opslaginrichting vindt spoelen met het betreffende gas door die aansluiting plaats. Omdat de wafers nauwkeurig in de cassettes passen, is gebleken dat slechts met uiterste moeite een volledig homogene atmosfeer bereikt kan 30 worden. Daarvoor is een zeer grote hoeveelheid gas noodzakelijk terwijl onder normale omstandigheden niet gegarandeerd kan worden dat elk deel in de cassetteruimte voorzien is van de gecontroleerde atmosfeer.
Een ander voorstel is het niet direct nemen van de wafers uit de cassette teneinde
t00932T
2 deze op een waferrek te plaatsen, maar om te voorzien in een sluisconstructie waarin de cassette in zijn geheel opgenomen wordt. In een dergelijke sluisruimte wordt de atmosfeer dan naar de gecontroleerde atmosfeer gewijzigd. Gebleken is dat een en ander tijdrovend is terwijl de ruimte voor het opnemen van de cassette weer extra 5 vloeroppervlak toevoegt aan de totale behandelingsinrichting.
In WO 96/26874 wordt een constructie met een sluiskamer beschreven.
Deze is voorzien van een enkele deur die zowel de eerste als tweede opening afsluit. Daarbij is de eerste opening aangebracht in een ten opzichte van van de overige constructie verplaatsbaar deel. Openen vindt plaats door dit verplaatsbare deel weg van 10 de deur te bewegen.
Deze constructie heeft als nadeel dat de sluiskamer een bewegend deel heeft. Door de beweging kunnen stof of andere deeltjes vrijkomen, welke niet gewenste verontreiniging geven. Bovendien is deze constructie omvangrijk en gecompliceerd. Daardoor is het ruimtebeslag van een dergelijke sluisconstructie aanzienlijk. Dit speelt 15 vooral bij het gebruik van grotere wafers. Bovendien heeft de sluiskamer door de mogelijkheid van het verplaatsbaar zijn van een deel van de wand daarvan, een verhoudingsgewijs groot volume, hetgeen het spoelen daarvan bemoeilijkt. Bovendien is het van nadeel dat tijdens de laad/oplaadhandeling van de cassette ten opzichte van de sluiskamer beweging van de cassette plaatsvindt.
20 Het is het doel van de onderhavige uitvinding deze nadelen te vermijden en in een eenvoudige constructie te voorzien waarmee zonder beweging van de cassette verbinding met de sluiskamer verwezenlijkt kan worden en waarbij spoelen van de cassetteruimte afgezonderd ten opzichte van de omgeving op eenvoudige wijze mogelijk is.
25 Dit doel wordt bij de hierboven beschreven inrichting verwezenlijkt, waarbij een verdere, van die deur gescheiden, deur aanwezig is en dat in die sluiskamer aan- en afvoermiddelen voor een gas zijn aangebracht.
Door toepassing van twee afzonderlijke deuren in de sluiskamer is het mogelijk, zowel de eerste als tweede opening afzonderlijk af te sluiten. Bovendien is het niet 30 langer noodzakelijk bewegende wanddelen in de sluiskamer aan te brengen. De constructie volgens de uitvinding kan aanzienlijk compacter uitgevoerd worden waardoor het volume van de sluiskamer afneemt. Daardoor kan het ruimtebeslag van de totale behandelingsinrichting verkleind worden. Bovendien is dan op eenvoudige wijze 1009327 3 mogelijk een verhoudingsgewijs kleine hoeveelheid gas zowel de sluiskamer als de cassette met gas te doorstromen . Dit gas kan een spoelgas zijn. Bij voorkeur zijn de aanvoermiddelen voor een dergelijk gas zodanig uitgevoerd dat de stroom gas in eerste instantie in de ruimte in de cassette beweegt.
5 Volgens de uitvinding is de cassette bij voorkeur een cassette van het zogenaamde FOUP-soort. Daarbij is het cassetterek vast in de behuizing aangebracht en worden de wafers in de richting van het vlak daarvan uit de cassette genomen. Dit in tegenstelling tot een zogenaamde SMIF-cassette waarbij het wafer rek verplaatsbaar in de behuizing aangebracht is en de wafers met het wafer rack in de richting loodrecht op 10 het vlak van de wafers uit de cassette verwijderd worden.
Met behulp van de uitvinding is het mogelijk het spoelen via een deksel van de cassettes uit te voeren. Door het in eerste instantie slechts gedeeltelijk openen van de cassettedeksel kan enerzijds goede toegang tot alle niveaus van de wafers verkregen worden en wordt anderzijds de hoeveelheid spoelfluïdum zoveel mogelijk beperkt. 15 Indien gebruik gemaakt wordt van een sluisconstructie nabij de opening is het mogelijk het deksel vervolgens volledig te verwijderen.
Bij voorkeur zijn de hierboven beschreven deuren als vlakke platen uitgevoerd, welke beide zijdelings weg bewegen.
De uitvinding heeft eveneens betrekking op een samenstel bestaande uit de 20 hierboven beschreven inrichting en een cassette waarbij de cassette als FOUP-cassette uitgevoerd is.
De uitvinding betreft eveneens een werkwijze voor het overladen van wafers uit/naar cassettes in een sluiskamer, waarbij de cassette met de te openen zijde tegen de sluiskamer geplaatst wordt, zodanig dat de deur van de cassette samenvalt met een deur 25 voor een eerste opening van die sluiskamer, waarna de deur van de cassette met de deur van de sluiskamer in de sluiskamer verwijderd wordt, welke sluiskamer van een verdere afsluitbare opening voorzien is voor het uit/naar de sluiskamer af/toevoeren van die wafers, waarbij tijdens het verwijderen van de deur van de cassette, die verdere opening gesloten is en door die sluiskamer een spoelgas geleid wordt, gevolgd door het 30 openen van die verdere opening.
De uitvinding zal hieronder nader aan de hand van een in de tekeningen afgebeeld uitvoeringsvoorbeeld verduidelijkt worden. Daarbij tonen: 1009327 4
Fig. 1 schematisch en gedeeltelijk opengewerkt de inrichting volgens de uitvinding in perspectief;
Fig. 2 in bovenaanzicht schematisch de inrichting volgens fig. 1; en
Fig. 3a-e meer gedetailleerd de sluis volgens de uitvinding in verschillende 5 posities.
De inrichting volgens de uitvinding is in het geheel met 1 aangegeven. Deze omvat een behuizing 2 en zal in het algemeen in een zogenaamde "clean room" aangebracht zijn. Behalve behuizing 2 zijn, zoals in het bijzonder uit fig. 2 blijkt, scheidingswanden 3, 4 en 5 aanwezig. Behuizing 2 begrenst met scheidingswand 3 10 reactorruimte of kamer 21. Tussen behuizing 2 en scheidingswanden 3, 4 (en afsluiting 20) wordt een kamer 22 begrensd waarin zich de tussenopslag volgens de uitvinding bevindt. Tussen scheidingswand 4 en 5 en behuizing 2 wordt een kamer 23 begrensd. 33 is de inbrengruimte.
In reactorruimte 21 zijn twee reactoren die in dit geval ovens 6, 7 omvatten 15 aangebracht. Deze ovens zijn verticaal gepositioneerd en met 12 aangegeven waferrekken worden, gevuld met wafers 13, in verticale richting van onderen af in de ovens 6, 7 aangebracht. Daartoe is een in verticale richting beweegbare inbrengarm 14 aanwezig bij elke oven. Slechts een enkele inbrengarm 14 is in de tekening zichtbaar. Het waferrek 12 is aan de onderzijde voorzien van een niet nader aangeduide isolatieplug die 20 in afdichting ten opzichte van de oven voorziet. Bijzondere maatregelen zijn genomen om het bedrijf in de oven te optimaliseren.
Een draaiplateau 11 is aanwezig voorzien van uitsparingen 15. Deze uitsparingen 15 zijn zodanig uitgevoerd dat arm 14, indien de uitsparingen 15 in de juiste positie gebracht zijn, door de uitsparingen op en neer kan bewegen. Anderzijds is de diameter 25 van de onderzijde van het waferrek zodanig dat deze groter is dan de uitsparing 15 van het plateau 11 zodat bij het naar beneden bewegen van arm 14 uit de positie getoond in fig. 1 het waferrek 12 op draaiplateau 11 geplaatst kan worden en op omgekeerde wijze daar weer van afgenomen kan worden.
De waferrekken kunnen toegevoerd worden aan zowel oven 6 als oven 7. Het is 30 mogelijk daarin een achtereenvolgende behandeling uit te voeren. Ook is het mogelijk parallel groepen waferrekken uitsluitend door oven 6 en uitsluitend door oven 7 te laten I behandelen. Deze waferrekken moeten voorzien worden van wafers. Immers, wafers 13 worden toegevoerd in (transport)cassettes 10 die vanaf de inbrengruimte 33 door een 1009327 5 afsluitbare opening 34 met behulp van arm 31 geplaatst worden in opslag 8. Arm 31 is voorzien van een draagvlak 32 dat een iets kleinere afmeting heeft dan reeks uitsparingen 26 in draaiplateaus 27. Een aantal van dergelijke draaiplateaus is op elkaar volgend in hoogterichting in opslag 8 aanwezig. Arm 31 is in hoogterichting verplaatsbaar met 5 behulp van hoogteverstelling 35. Arm 31 is zodanig aangebracht dat deze niet alleen in staat is om cassettes op te nemen/af te voeren van/naar inbrengruimte 33 naar/van opslag 8, maar dat het eveneens mogelijk is cassettes te verplaatsen van/naar opslag 8 naar/van draaiplateau 30. Dit draaiplateau 30 is zodanig uitgevoerd dat bij rotatie de cassette geplaatst wordt tegen scheidingswand 4 waar een opening 37 is aangebracht zodat na het 10 openen van de cassettes met behulp van arm 24 wafers stuk voor stuk uit de betreffende cassette genomen kunnen worden en in het zich in kamer 22 bevindende waferrek 12 geplaatst kunnen worden. Dit waferrek 12 wordt ondersteund door schamierarm 16 die aan het uiteinde van een draagvlak 17 voorzien wordt, waarvan de afmetingen weer enigszins kleiner zijn dan die van uitsparingen 15. Deze arm 16 kan zich met het 15 waferrek bewegen door een afsluitbare opening in scheidingswand 3. Een afsluiting 20 is aanwezig om de kamer 22 afsluitbaar ten opzichte van kamers 21 en 23 te maken.
Het behandelen van een groot aantal wafers kan als volgt uitgevoerd worden:
De schematisch in fig. 1 afgebeelde operator laadt een opslag 8 door het invoeren van een aantal cassettes en het uitvoeren van bedieningshandelingen op paneel 36. Elk 20 van de cassettes 10 wordt uit de inbrengruimte 33 met behulp van aim 31 in de daarvoor aangebrachte opslagcompartimenten 9 van opslag 8 gebracht. Dit betekent dat uitgaande van de laagste positie voor het door de opening 34 nemen van de betreffende cassette 10 uit ruimte 33 deze cassette vervolgens omhoog bewogen kan worden om in een hoger compartiment 9 van de opslag 8 bewogen te worden. Door rotatie van de opslag 8 25 kunnen verschillende compartimenten gevuld worden met cassettes 10.
Na het vullen van opslag 8 is bij het automatisch uitvoeren van de inrichting volgens de onderhavige uitvinding geen verder menselijk ingrijpen meer noodzakelijk. Vervolgens worden de betreffende cassettes 10 door arm 31 uit de opslag genomen en geplaatst op draaiplateau 30. Daar worden de cassettes geroteerd en geplaatst tegen 30 scheidingswand 4. Met behulp van arm 24 wordt wafer voor wafer uitgenomen en in waferrek 22 geplaatst op zwenkarm 16 geplaatst. Ondertussen kan het draaiplateau 11 in de reactorruimte 21 optimaal bewegen met betrekking tot de behandelingen uit te voeren aan de zich binnen de reactorruimte 21 bevindende wafers. Nadat waferrek 12 in ruimte 1009327 6 22 gevuld is en een van de reactoren 6, 7 beschikbaar is of komt, wordt opening 19 die tot nu toe gesloten was vrijgegeven en dit gevulde waferrek op draaiplateau 11 geplaatst. Vervolgens beweegt dit draaiplateau direct of later een positie en wordt een gevuld waferrek 12 van plateau 11 afgenomen. Op dit gevulde plateau bevinden zich behandelde 5 wafers. Deze voeren een naar boven staande tegengesteld gerichte beweging uit.
Meer details van deze inrichting zijn te vinden in het Nederlandse octrooi 1008143.
Zoals uit fig. 3a-e in het bijzonder blijkt, is nabij wand 4 sluis 40 volgens de uitvinding aangebracht. Deze bestaat uit een sluisruimte 41, enerzijds begrensd door 10 wand 4 en anderzijds begrensd door hulpwand 39. Zowel wand 4 als hulpwand 39 zijn voorzien van een opening respectievelijk 38 en 37. Opening 38 is afsluitbaar met behulp van een sluitplaat 46. Via afdichting 47 wordt een perfecte afdichting ten opzichte van wand 40 verzekerd. Opening 37 is afgedicht met behulp van grijpplaat 44. Deze is van een schematisch afgebeelde koppeling 52 voorzien om deksel 42 aan te grijpen. 15 Afdichting 43 voorziet in afsluiting tussen het cassettehuis 10 en hulpwand 39.
Met 49 is een gastoevoer aangegeven terwijl 50 een gasafvoer aanduidt.
In de positie getoond in fig. 3a wordt de cassette 10 juist tegen hulpwand 39 op afdichtende wijze geplaatst. Vervolgens (fig.3b) vindt vergrendeling van grijpplaat 44 en deur 42 plaats waarna het betreffende samenstel eerst naar voren (in de tekening naar 20 beneden) bewogen wordt en vervolgens in de richting van pijl 45 (fig. 3b,c). Onder deze omstandigheden is sluitplaat 46 nog steeds in afdichtende aangrijping met wand 4. Tijdens of na het gedeeltelijk openen van cassette 10 wordt via gastoevoer 49 gas in de cassetteruimte geblazen (fig. 3d). Dit kan over de hele hoogte van de cassette, d.w.z. tussen alle in de cassette aangebrachte wafers plaatsvinden. Bij het gedeeltelijk openen 25 van deur 42 ontstaat de met pijl 51 in fig. 3d aangegeven gasstroom. Dat wil zeggen, volledige doorstroming van cassette 10 wordt gewaarborgd. Vervolgens wordt (fig.3e) sluitplaat 46 volgens pijl 45 wegbewogen en kunnen de wafers verplaatst worden.
Degene bekwaam in de stand der techniek zal begrijpen dat talrijke wijzigingen aan het bovenstaande mogelijk zijn. Zo kan volstaan worden met een reactor of kunnen J 30 meer dan twee reactoren aanwezig zijn. De opslag kan anders uitgevoerd zijn terwijl de verschillende verplaatsingsmechanismen eveneens afhankelijk van de behoefte aangepast ! worden. Dergelijke wijzigingen worden alle geacht binnen het bereik van de onderhavige conclusies te liggen.
1009327

Claims (8)

1. Inrichting voor het overplaatsen van wafers (13) uit/naar cassettes (10), omvattende een sluiskamer (41) met een eerste opening (37) voor het ontvangen van 5 die cassettes en een tweede opening (38) voor het verplaatsen van de wafers naar een behandelinrichting, welke openingen in lijn liggend aangebracht zijn, waarbij tenminste een deur (44) aanwezig is voor het afsluiten van een van die openingen, welke deur ingericht is voor het ontvangen van de deur (42) van die cassette, met het kenmerk, dat een verdere, van die deur (44) gescheiden, deur (46) aanwezig is en dat in die 10 sluiskamer aan (49)- en afvoer (50)-middelen voor een gas zijn aangebracht.
2. Inrichting volgens conclusie 1, waarbij die aanvoermiddelen (49) voor een gas uitgevoerd zijn om een stroom gas in die cassette te bewegen.
3. Inrichting volgens een van de voorgaande conclusies, waarbij die deuren in hoofdzaak vlakke platen omvatten, welke beide in het vlak van die eerste, 15 respectievelijk tweede opening weg bewogen worden voor het openen daarvan.
4. Samenstel omvattende een inrichting volgens een van de conclusies 1-3, alsmede een daarmee samenwerkende cassette, waarbij die cassette een vast aan de behuizing daarvan bevestigd wafer rek omvat.
5. Samenstel volgens conclusie 4, waarbij het vlak van de opnames voor de 20 wafers in de cassette in hoofdzaak loodrecht staat op het vlak van de eerste opening.
6. Werkwijze voor het overladen van wafers uit/naar cassettes in een sluiskamer, waarbij de cassette met de te openen zijde tegen de sluiskamer geplaatst wordt, zodanig dat de deur van de cassette samenvalt met een deur voor een opening van die sluiskamer, waarnaar de deur van de cassette met die deur van de sluiskamer in 25 de sluiskamer verwijderd wordt, welke sluiskamer van een verdere afsluitbare opening voorzien is voor het uit/naar de sluiskamer af/toevoeren van die wafers, met het kenmerk, dat tijdens het verwijderen van de deur van die cassette, die verdere opening gesloten is en door die sluiskamer een spoelgas geleid wordt, gevolgd door het openen van die verdere opening.
7. Werkwijze volgens conclusie 6, waarbij het spoelgas langs de gedeeltelijk geopende cassettedeur geleid wordt.
8. Werkwijze volgens conclusie 6 of 7, waarbij na het openen van die verdere opening, die wafers achtereen volgens uit/in de cassette verwijderd/ingebracht worden. f009327
NL1009327A 1998-06-05 1998-06-05 Werkwijze en inrichting voor het overbrengen van wafers. NL1009327C2 (nl)

Priority Applications (9)

Application Number Priority Date Filing Date Title
NL1009327A NL1009327C2 (nl) 1998-06-05 1998-06-05 Werkwijze en inrichting voor het overbrengen van wafers.
PCT/NL1999/000353 WO1999065064A1 (en) 1998-06-05 1999-06-04 Method and device for transferring wafers
US09/719,334 US6481945B1 (en) 1998-06-05 1999-06-04 Method and device for transferring wafers
EP99937847A EP1082756B1 (en) 1998-06-05 1999-06-04 Method and device for transferring wafers
JP2000553984A JP3519687B2 (ja) 1998-06-05 1999-06-04 ウェファー移送方法および装置
AU42933/99A AU4293399A (en) 1998-06-05 1999-06-04 Method and device for transferring wafers
KR10-2000-7013763A KR100391750B1 (ko) 1998-06-05 1999-06-04 웨이퍼 운반 장치 및 방법
DE69935039T DE69935039T2 (de) 1998-06-05 1999-06-04 Verfahren und vorrichtung zum transport von halbleiterplättchen
TW088110717A TW434777B (en) 1998-06-05 1999-06-25 Method and device for transferring wafers

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
NL1009327 1998-06-05
NL1009327A NL1009327C2 (nl) 1998-06-05 1998-06-05 Werkwijze en inrichting voor het overbrengen van wafers.

Publications (1)

Publication Number Publication Date
NL1009327C2 true NL1009327C2 (nl) 1999-12-10

Family

ID=19767261

Family Applications (1)

Application Number Title Priority Date Filing Date
NL1009327A NL1009327C2 (nl) 1998-06-05 1998-06-05 Werkwijze en inrichting voor het overbrengen van wafers.

Country Status (9)

Country Link
US (1) US6481945B1 (nl)
EP (1) EP1082756B1 (nl)
JP (1) JP3519687B2 (nl)
KR (1) KR100391750B1 (nl)
AU (1) AU4293399A (nl)
DE (1) DE69935039T2 (nl)
NL (1) NL1009327C2 (nl)
TW (1) TW434777B (nl)
WO (1) WO1999065064A1 (nl)

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1008143C2 (nl) * 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
US6632068B2 (en) 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6848876B2 (en) * 2001-01-12 2005-02-01 Asyst Technologies, Inc. Workpiece sorter operating with modular bare workpiece stockers and/or closed container stockers
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
JP3880343B2 (ja) 2001-08-01 2007-02-14 株式会社ルネサステクノロジ ロードポート、基板処理装置および雰囲気置換方法
JP3832294B2 (ja) * 2001-08-31 2006-10-11 株式会社ダイフク 荷保管設備
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
DE10238165B3 (de) * 2002-08-15 2004-03-25 Hans-Heinz Helge Langgestrecktes Rolladenprofil aus Kunststoff oder Metall für Schwimmbadabdeckungen
WO2004019368A2 (en) * 2002-08-26 2004-03-04 Tokyo Electron Limited Reduced volume plasma reactor
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6868859B2 (en) 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
TWI228750B (en) * 2003-02-25 2005-03-01 Samsung Electronics Co Ltd Apparatus and method for processing wafers
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US9010384B2 (en) 2004-06-21 2015-04-21 Right Mfg. Co. Ltd. Load port
JP4585514B2 (ja) * 2004-06-21 2010-11-24 株式会社ライト製作所 ロードポート
DE102004058108B4 (de) * 2004-12-01 2006-12-28 Asys Automatic Systems Gmbh & Co. Kg Transfereinheit einer Bearbeitungsanlage
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
TW200737307A (en) 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7553516B2 (en) 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20080118334A1 (en) * 2006-11-22 2008-05-22 Bonora Anthony C Variable pitch storage shelves
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
JP2009054859A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 基板受入装置及び基板受入方法
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI723024B (zh) 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
TWI778102B (zh) * 2017-08-09 2022-09-21 荷蘭商Asm智慧財產控股公司 用於儲存基板用之卡匣的儲存設備及備有其之處理設備
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) * 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN110587554A (zh) * 2019-10-24 2019-12-20 上海隐冠半导体技术有限公司 一种微动台及具有该微动台的运动装置
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1996026874A1 (en) * 1995-02-27 1996-09-06 Asyst Technologies, Inc. Standard mechanical interface integrated vacuum loadlock chamber

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3836696C1 (en) * 1988-10-28 1989-12-07 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De Lock for transporting material between clean rooms
US5169272A (en) * 1990-11-01 1992-12-08 Asyst Technologies, Inc. Method and apparatus for transferring articles between two controlled environments
JPH04206547A (ja) * 1990-11-30 1992-07-28 Hitachi Ltd 装置間搬送方法
JPH081923B2 (ja) * 1991-06-24 1996-01-10 ティーディーケイ株式会社 クリーン搬送方法及び装置
JP3191392B2 (ja) * 1992-04-07 2001-07-23 神鋼電機株式会社 クリーンルーム用密閉式コンテナ
JP3275390B2 (ja) * 1992-10-06 2002-04-15 神鋼電機株式会社 可搬式密閉コンテナ流通式の自動搬送システム
DE59611078D1 (de) * 1995-03-28 2004-10-14 Brooks Automation Gmbh Be- und Entladestation für Halbleiterbearbeitungsanlagen
US5609459A (en) * 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
US5674123A (en) * 1995-07-18 1997-10-07 Semifab Docking and environmental purging system for integrated circuit wafer transport assemblies
US5752796A (en) * 1996-01-24 1998-05-19 Muka; Richard S. Vacuum integrated SMIF system
US6082951A (en) * 1998-01-23 2000-07-04 Applied Materials, Inc. Wafer cassette load station
US6120229A (en) * 1999-02-01 2000-09-19 Brooks Automation Inc. Substrate carrier as batchloader
US6042324A (en) * 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1996026874A1 (en) * 1995-02-27 1996-09-06 Asyst Technologies, Inc. Standard mechanical interface integrated vacuum loadlock chamber

Also Published As

Publication number Publication date
JP2002518824A (ja) 2002-06-25
EP1082756A1 (en) 2001-03-14
JP3519687B2 (ja) 2004-04-19
DE69935039D1 (de) 2007-03-22
AU4293399A (en) 1999-12-30
EP1082756B1 (en) 2007-01-31
DE69935039T2 (de) 2007-11-22
KR100391750B1 (ko) 2003-07-16
TW434777B (en) 2001-05-16
US6481945B1 (en) 2002-11-19
WO1999065064A1 (en) 1999-12-16
KR20010052583A (ko) 2001-06-25

Similar Documents

Publication Publication Date Title
NL1009327C2 (nl) Werkwijze en inrichting voor het overbrengen van wafers.
NL1008143C2 (nl) Stelsel voor het behandelen van wafers.
NL1005625C2 (nl) Stelsel voor het overbrengen van wafers uit cassettes naar ovens alsmede werkwijze.
NL1010317C2 (nl) Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
NL1020054C2 (nl) Inrichting voor het behandelen van wafers, voorzien van een meetmiddelendoos.
JP3656701B2 (ja) 処理装置
JP4642218B2 (ja) 半導体加工装置のためのローディング及びアンローディング用ステーション
JP3664897B2 (ja) 縦型熱処理装置
JP4919539B2 (ja) カセット保管装置並びに半導体処理ステーション及びその操作方法
US6318945B1 (en) Substrate processing apparatus with vertically stacked load lock and substrate transport robot
KR20030074486A (ko) 퍼니스 내에서 웨이퍼의 배치처리를 위한 방법 및 장치
JP2009170945A (ja) 半導体加工装置のためのローディング及びアンローディング用ステーション
JP3665571B2 (ja) 対象物を貯蔵するための、特にウェハ、フラットパネル又はcd等のディスク状の対象物を貯蔵するための装置
JP2002175998A (ja) 処理装置
US20070110546A1 (en) Arrangement for the filling and/or emptying of containers filled and/or for filling with articles and manipulation device for transporting the containers
JP3941359B2 (ja) 被処理体の処理システム
US5894711A (en) Box handling apparatus and method
JP3438826B2 (ja) 処理装置及びその使用方法
TW200952113A (en) Transfer mechanism for target item for processing, and processing system for target item for processing
JP4001370B2 (ja) 自動保管棚のトレイ落下防止装置
JP4519348B2 (ja) 熱処理装置および熱処理方法
JP2000268246A (ja) 自動販売機の商品取り出し装置
JPH06245817A (ja) 苗箱の土落し装置
JPH0877676A (ja) ディスクオートチェンジャーのローダー部の配置構造
JPH09115219A (ja) 集合型光ディスク装置

Legal Events

Date Code Title Description
PD2B A search report has been drawn up
VD1 Lapsed due to non-payment of the annual fee

Effective date: 20030101