DE69935039T2 - Verfahren und vorrichtung zum transport von halbleiterplättchen - Google Patents

Verfahren und vorrichtung zum transport von halbleiterplättchen Download PDF

Info

Publication number
DE69935039T2
DE69935039T2 DE69935039T DE69935039T DE69935039T2 DE 69935039 T2 DE69935039 T2 DE 69935039T2 DE 69935039 T DE69935039 T DE 69935039T DE 69935039 T DE69935039 T DE 69935039T DE 69935039 T2 DE69935039 T2 DE 69935039T2
Authority
DE
Germany
Prior art keywords
closure
opening
cassette
chamber
wafers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69935039T
Other languages
English (en)
Other versions
DE69935039D1 (de
Inventor
Albert Hasper
Frank Huussen
Marinus Cornelis KOOIJMAN
Gerardus Theodorus OOSTERLAKEN
Herman Jack VAN PUTTEN
Gerardus Christianus RIDDER
Gert-Jan Snijders
Jan Jeroen STOUTJESDIJK
Jan Zinger
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=19767261&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE69935039(T2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by ASM International NV filed Critical ASM International NV
Application granted granted Critical
Publication of DE69935039D1 publication Critical patent/DE69935039D1/de
Publication of DE69935039T2 publication Critical patent/DE69935039T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Description

  • Die vorliegende Erfindung bezieht sich auf eine Vorrichtung und ein Verfahren gemäß des Oberbegriffs von Anspruch 1.
  • Eine Vorrichtung dieser Art ist in WO 96/26874 offenbart.
  • Zum Transportieren von Wafern wird von Kassetten Gebrauch gemacht, die mit einer Abdeckung bereitgestellt sind, die derart angebaut ist, dass sie entfernbar ist. Die Abdeckung kann mehr oder weniger mit einer gasdichten Abdichtung in Bezug auf den anderen Teil der Kassette bereitgestellt sein. Wenn die Wafer z.B. in einem Ofen bearbeitet werden müssen, werden die Wafer aus der Kassette entfernt und in einem Waferrahmen platziert und der Waferrahmen wird dann zusammen mit den Wafern in den Ofen eingeführt. Nach der Bearbeitung werden die Wafer zusammen mit dem Rahmen aus dem Ofen entfernt und die Wafer werden zurück in die Kassette übertragen und für weitere Bearbeitung transportiert.
  • Im Allgemeinen befinden sich Bearbeitungsvorrichtungen, wie Öfen, unter einer gesteuerten/geregelten Atmosphäre, z.B. einer Inertstickstoffatmosphäre, und zwar während des Beladens oder der Entnahme. Es ist auch notwendig, die Wafer in eine derartige Atmosphäre einzubringen. Zahlreiche Vorschläge zum Einbringen der Wafer in die gesteuerte/geregelte Atmosphäre der Bearbeitungsvorrichtung bevor die Wafer in die Kammer eingebracht werden, in welcher die Bearbeitungsvorrichtung angeordnet ist, sind im Stand der Technik offenbart.
  • Ein erster Vorschlag umfasst das Anbauen oder Anpassen einer Verbindung an die Kassetten. Die Verbindung greift in der Kassettenlagervorrichtung, wobei die Lagervorrichtung mehrere Dutzend von Kassetten enthalten kann, in ein Kopplungsstück ein, dass in der Lagervorrichtung angeordnet ist, und nachdem die Kassette und die Lagervorrichtung verbunden sind, findet das Reinigen mit dem betreffenden Gas durch die Verbindung statt. Da die Wafer exakt in die Kassette passen, hat sich herausgestellt, dass eine vollständig homogene Atmosphäre nur unter sehr großen Schwierigkeiten erreicht werden kann. Dafür ist eine große Menge von Gas erforderlich, während es unter normalen Bedingungen nicht garantiert werden kann, dass jeder Teil der Kassettenkammer mit der gesteuerten/geregelten Atmosphäre versorgt worden ist.
  • Ein weiterer Vorschlag ist, die Wafer nicht sofort aus der Kassette zu entfernen, um diese in einem Waferrahmen zu platzieren, sondern einen Verschlussaufbau bereitzustellen, in welchem die Kassette als Ganzes aufgenommen wird. In einer Verschlusskammer dieser Art wird die Atmosphäre dann zu der gesteuerten/geregelten Atmosphäre verändert. Es hat sich herausgestellt, dass die verschiedenen Abläufe zeitaufwändig sind, während die Kammer zum Aufnehmen der Kassette wiederum zusätzlichen Bodenplatz zu der kompletten Bearbeitungsvorrichtung hinzufügt.
  • Ein Aufbau, der eine Verschlusskammer aufweist, ist in WO 96/26874 beschrieben.
  • Dieser Aufbau ist mit einem einzelnen Verschluss bereitgestellt, welcher sowohl die erste als auch die zweite Öffnung verschließt. In dieser Anordnung ist die erste Öffnung in einem Bauteil hergestellt, das mit Bezug auf den Rest des Aufbaus bewegbar ist. Das Öffnen wird durch das Bewegen des bewegbaren Bauteils von dem Verschluss weg bewirkt.
  • Dieser Aufbau weist den Nachteil auf, dass die Verschlusskammer ein bewegliches Teil aufweist. Als ein Ergebnis der Bewegung können Staub oder andere Partikel freigesetzt werden, welche zu unerwünschter Kontamination führen. Ferner ist dieser Aufbau sperrig und kompliziert. Infolge dessen sind die Raumanforderungen für einen derartigen Verschlussaufbau beachtlich. Dies spielt insbesondere dann eine Rolle, wenn große Wafer verwendet werden. Darüber hinaus weist die Verschlusskammer als eine Konsequenz der Möglichkeit, dass Teile der Wand der Verschlusskammer bewegbar sind, ein relativ großes Volumen auf, welches das Reinigen derselben schwieriger gestaltet. Ferner ist es ein Nachteil, dass die Bewegung der Kassette relativ zu der Verschlusskammer während des Ablaufs des Ladens/Entladens der Kassette stattfindet.
  • Das Ziel der vorliegenden Erfindung ist es, diese Nachteile zu vermeiden und einen einfachen Aufbau bereitzustellen, mit welchem die Kommunikation mit der Verschlusskammer erreicht werden kann, ohne die Kassette zu bewegen und mit welchem es möglich ist, die Kassettenkammer getrennt von der Umgebung in einfacher Art zu reinigen.
  • Das Ziel wird durch die vorstehend beschriebene Vorrichtung mittels der kennzeichnenden Merkmale von Anspruch 1 erreicht.
  • Durch Verwendung zweier getrennter Verschlüsse in der Verschlusskammer, ist es möglich, sowohl die erste als auch die zweite Öffnung unabhängig voneinander zu schließen. Darüber hinaus ist es nicht mehr notwendig, bewegliche Wandabschnitte in die Verschlusskammer einzubauen. Der Aufbau gemäß der Erfindung kann spürbar kompakter hergestellt werden, was darin resultiert, dass das Volumen der Verschlusskammer reduziert wird. Infolge dessen kann die Raumanforderung für die ganze Bearbeitungsvorrichtung reduziert werden. Ferner ist es dann möglich, in einfacher Art Gas sowohl durch die Verschlusskammer als auch durch die Kassette zu führen, wobei eine relativ kleine Menge von Gas verwendet wird. Das Gas kann ein Reinigungsgas sein. Vorzugsweise sind die Zuleitungsmittel für ein derartiges Gas derart aufgebaut, dass sich der Gasstrom anfänglich in den Raum in der Kassette bewegt.
  • Gemäß der Erfindung ist die Kassette vorzugsweise eine Kassette der so genannten FOUP-Art. In diesem Fall ist der Kassettenrahmen derart montiert, dass er in dem Gehäuse befestigt ist und die Wafer in Richtung der Ebene desselben aus der Kassette entfernt werden. Dies ist im Gegensatz zu einer so genannten SMIF-Kassette, mit welcher der Waferrahmen derart montiert ist, dass er in dem Gehäuse bewegbar ist und die Wafer zusammen mit dem Waferrahmen in der Richtung senkrecht zu der Ebene der Wafer aus der Kassette entfernt werden.
  • Mit Hilfe der Erfindung ist es möglich, das Reinigen mittels einer Abdeckung für die Kassetten auszuführen. Durch anfänglich lediglich teilweises Öffnen der Kassettenabdeckung ist es einerseits möglich guten Zugriff zu allen Ebenen der Wafer zu erhalten und andererseits die Menge des Reinigungsfluids soweit wie möglich einzuschränken. Wenn von einem Verschlussaufbau nahe an der Öffnung Gebrauch gemacht wird, ist es möglich, die Abdeckung anschließend vollständig zu entfernen.
  • Vorzugsweise sind die oben beschriebenen Verschlüsse als flache Platten aufgebaut, welche sich beide zur Seite weg bewegen.
  • Die Erfindung bezieht sich ebenfalls auf eine Anordnung, die aus der oben beschriebenen Vorrichtung und einer Kassette besteht, wobei die Kassette als eine FOUP-Kassette aufgebaut ist.
  • Die Erfindung bezieht sich ebenfalls auf ein Verfahren zum Übertragen von Wafern von/zu Kassetten in einer Verschlusskammer, wobei die Kassette mit der zu öffnenden Seite gegen die Verschlusskammer positioniert ist, so dass der Verschluss der Kassette mit einem Verschluss für eine erste Öffnung der Verschlusskammer zusammenfällt, wonach der Verschluss der Kassette zusammen mit dem Verschluss der Verschlusskammer in die Verschlusskammer entfernt wird, wobei die Verschlusskammer mit einer weiteren verschließbaren Öffnung zum Entfernen/Zuführen von Wafern von/zu der Verschlusskammer bereitgestellt ist, wobei während der Entfernung des Verschlusses der Kassette die weitere Öffnung geschlossen wird und ein Reinigungsgas durch die Verschlusskammer eingespeist wird gefolgt von dem Öffnen der weiteren Öffnung.
  • Die Erfindung wird nachstehend detaillierter mit Bezug auf eine in den Zeichnungen dargestellte beispielhafte Ausführungsform erklärt. In den Zeichnungen:
  • 1 zeigt eine schematische und teilweise offene Perspektivansicht der Vorrichtung gemäß der Erfindung;
  • 2 zeigt schematisch eine Draufsicht der Vorrichtung gemäß 1 und;
  • 3a–e zeigen den Verschluss gemäß der Erfindung detaillierter in verschiedenen Positionen.
  • Die Vorrichtung gemäß der Erfindung wird in ihrer Gesamtheit mit 1 bezeichnet. Die Vorrichtung umfasst ein Gehäuse 2 und wird im Allgemeinen in einem so genannten „Reinraum" („clean room") installiert werden. Zusätzlich zum Gehäuse 2 sind ebenfalls Abtrennung 3, 4 und 5 vorhanden, wie man insbesondere in 2 sehen kann. Das Gehäuse 2 begrenzt mit Abtrennung 3 den Reaktorbereich oder Kammer 21. Eine Kammer 22, in welcher sich das Zwischenlager gemäß der Erfindung befindet, wird zwischen dem Gehäuse 2 und Abtrennung 3, 4 abgegrenzt. Eine Kammer 23 ist zwischen Abtrennungen 4 und 5 und Gehäuse 2 abgegrenzt. 33 ist die Einführungskammer.
  • Zwei Reaktoren, welche in diesem Fall die Öfen 6, 7 umfassen, sind in Reaktorkammer 21 angeordnet. Die Öfen sind vertikal positioniert und Waferrahmen, durch 12 bezeichnet, gefüllt mit Wafern 13, werden in der vertikalen Richtung von unten in die Öfen 6, 7 eingeführt. Zu diesem Zweck weist jeder Ofen einen Einbringungsarm 14 auf, welcher in der vertikalen Richtung bewegbar ist. In der Zeichnung ist lediglich ein Einbringungsarm 14 sichtbar. Der Waferrahmen 12 ist an dem Boden mit einem Isolierstopfen versehen, welcher im Detail nicht weiter bezeichnet wird und eine Abdichtung in Bezug auf den Ofen bereitstellt. Besondere Maßnahmen, um den Ablauf in dem Ofen zu optimieren, werden vorgenommen.
  • Eine Drehplattform 11, die mit Ausschnitten 15 versehen ist, ist angebaut. Die Ausschnitte 15 sind derart geformt, dass, wenn die Ausschnitte 15 in die korrekte Position gebracht worden sind, der Arm 14 in der Lage ist, sich durch die Ausschnitte hoch und runter zu bewegen. Andererseits ist der Durchmesser des Bodens des Waferrahmens derart, dass der Durchmesser größer ist als der Ausschnitt 15 in der Plattform 11, so dass, wenn sich der Arm 14 aus der in 1 gezeigten Position herunterbewegt, der Waferrahmen 12 auf der Drehplattform 11 platziert werden und in einem umgekehrten Ablauf davon wieder entfernt werden kann.
  • Die Waferrahmen können sowohl in den Ofen 6 als auch in den Ofen 7 eingeführt werden. Es ist möglich, eine fortlaufende Bearbeitung darin durchzuführen. Es ist ebenfalls möglich, parallelen Gruppen von Waferrahmen zu gestatten, ausschließlich durch Ofen 6 und ausschließlich durch Ofen 7 bearbeitet zu werden. Die Waferrahmen müssen mit Wafern bereitgestellt werden. Schließlich sind die Wafer 13 in (Transport-)Kassetten 10 eingeführt, welche von der Einführungskammer 33 in Lager 8 durch eine schließbare Öffnung 34 mit Hilfe des Arms 31 platziert werden. Arm 31 ist mit einer Lageroberfläche 32 versehen, welche Abmessungen aufweist, die ein wenig kleiner sind als die der Reihen von Ausschnitten 26 in Drehplattformen 27. Eine Anzahl derartiger Drehplattformen sind eine über der anderen in der vertikalen Richtung in Lager 8 bereitgestellt. Arm 31 ist mit Hilfe des Höheneinstellers 35 in der vertikalen Richtung bewegbar. Arm 31 ist derart montiert, dass der Arm nicht nur in der Lage ist, Kassetten von/aus Einführungskammer 33 aufzunehmen/zu entfernen in/von Lager 8, sondern es ist ebenfalls möglich, Kassetten von/zu Lager 8 zu/von Drehplattform 30 zu bewegen. Die Drehplattform 30 ist derart aufgebaut, dass die Kassette bei Drehung gegen die Abtrennung 4 platziert wird, wobei eine Öffnung 37 so hergestellt wurde, dass nach dem Öffnen der Kassetten das ein Wafer nach dem anderen von der betreffenden Kassette mit Hilfe von Arm 24 genommen werden können und in den Waferrahmen 12 platziert werden können, der sich in Kammer 22 befindet. Waferrahmen 12 ist durch den Gelenkarm 16 unterstützt, welcher an dem Ende mit einer Lageroberfläche 17 versehen ist, wobei deren Abmessungen wieder etwas kleiner sind als die der Ausschnitte 15. Arm 16 ist in der Lage, sich mit dem Waferrahmen durch eine verschließbare Öffnung in Abtrennung 3 zu bewegen. Ein Verschluss ist vorgesehen, um in der Lage zu sein, Öffnung 19 zwischen den Kammern 21 und 22 zu schließen.
  • Die Bearbeitung einer großen Anzahl von Wafern kann wie folgt durchgeführt werden:
    Die in 1 schematisch dargestellte Bedienungsperson belädt ein Lager 8 durch Einführen einer Anzahl von Kassetten und Durchführen von Steuer-/Regelabläufen an Konsole 36. Jede der Kassetten 10 wird von der Einführungskammer 33 mit Hilfe von Arm 31 in die Lagerabteilungen 9 übertragen, die für diese Kassetten in Lager 8 hergestellt sind. Dies bedeutet, dass angefangen von der untersten Position zum Entfernen der relevanten Kassette 10 aus Kammer 33 durch die Öffnung 34 die Kassette dann zum Bewegen in eine höhere Abteilung 9 des Lagers 8 nach oben bewegt werden kann. Durch Drehung des Lagers 8 ist es möglich, verschiedene Abteilungen mit Kassetten 10 zu füllen.
  • Nach dem Füllen von Lager 8 ist mit der automatisierten Ausführungsform der Vorrichtung gemäß der vorliegenden Erfindung kein weiterer menschlicher Eingriff erforderlich. Die betreffenden Kassetten 10 werden dann von dem Lager durch Arm 31 entfernt und auf Drehplattform 30 platziert. Die Kassetten werden auf der Drehplattform gedreht und gegen die Abtrennung 4 platziert. Mit der Hilfe von Arm 24 werden die Wafer einer nach dem anderen entfernt und auf Waferrahmen 12 platziert, der auf Schwenkarm 16 platziert ist. In der Zwischenzeit ist Drehplattform 11 in der Lage, sich in der Reaktorkammer 21 in einer optimalen Weise mit Rücksicht auf die an den Wafern auszuführenden Bearbeitungen zu bewegen, wobei sich die Wafer in der Reaktorkammer 21 befinden. Nachdem Waferrahmen 12 in Kammer 22 eingefüllt wurde und für einen der Reaktoren 6, 7 verfügbar ist oder verfügbar wird, wird Öffnung 19, welche bis dahin geschlossen war, geöffnet und der befüllte Waferrahmen auf Drehplattform 11 platziert. Die Drehplattform bewegt sich dann entweder sofort oder später um eine Position und ein befüllter Waferrahmen 12 wird von Plattform 11 entfernt. Bearbeitete Wafer befinden sich auf der befüllten Plattform. Diese Wafer führen eine Bewegung entgegen den obigen Wafern aus.
  • Weitere Details dieser Vorrichtung können im niederländischen Patent 1 008 143 gefunden werden.
  • Wie man insbesondere in den 3a–e sehen kann, ist der Verschluss 40 gemäß der Erfindung nahe zu Wand 4 angeordnet. Der Verschluss besteht aus einer Verschlusskammer 41, die auf der einen Seite durch Wand 4 und auf der anderen Seite durch Hilfswand 39 abgegrenzt wird. Sowohl Wand 4 als auch Hilfswand 39 sind mit einer Öffnung 38 bzw. 37 versehen. Öffnung 38 ist mit Hilfe einer Verschlussplatte 46 verschließbar. Mittels Abdichtung 47 wird eine vollständige Abdichtung mit Bezug auf Wand 40 sichergestellt. Öffnung 37 wird mit Hilfe der Greiferplatte 44 verschlossen. Die letztere ist mit einer schematisch gezeigten Kopplung 52 versehen, um an Abdeckung 42 einzugreifen. Abdichtung 43 stellt eine Abdichtung zwischen dem Kassettengehäuse 10 und Hilfswand 39 bereit.
  • 49 bezeichnet eine Gaszuführung, während 50 einen Gasaustritt bezeichnet.
  • In der in 3a gezeigten Position wird lediglich die Kassette 10 gegen die Hilfswand 39 platziert, um eine Abdichtung zu erzeugen. Das Verschließen von Greiferplatte 45 und Verschluss 42 findet dann statt (3b), nachdem die betreffende Anordnung zuerst vorwärts (in der Zeichnung nach unten) und dann in die Richtung von Pfeil 45 (3b, c) bewegt wird. Unter diesen Umständen ist Verschlussplatte 46 immer noch in abdichtendem Eingriff mit Wand 4. Während oder nach dem Öffnen von Kassette 10 wird Gas durch die Gaszuführung 49 (3d) in den Kassettenraum geblasen. Dies kann über die gesamte Höhe der Kassette stattfinden, d.h. zwischen allen Wafern, die in der Kassette angeordnet sind. Auf teilweises Öffnen von Verschluss 42 wird der durch Pfeil 51 in 3d bezeichnete Gasstrom erzeugt. Das heißt der Gasfluss durch die ganze Kassette 10 wird sichergestellt. Verschlussplatte 46 wird dann in Übereinstimmung mit Pfeil 45 (3e) weg bewegt und die Wafer können bewegt werden.
  • Fachleute werden verstehen, dass zahlreiche Modifikationen des obigen möglich sind. Zum Beispiel ist es möglich, dass ein Reaktor ausreicht oder mehr als zwei Reaktoren vorhanden sein können. Das Lager kann einen unterschiedlichen Aufbau aufweisen, während die verschiedenen Verlagerungsmechanismen ebenfalls angepasst sein können.

Claims (6)

  1. Vorrichtung zum Übertragen von Wafern (13) von/zu FOUP-Kassetten (10), umfassend eine Verschlusskammer (41) mit einer ersten Öffnung (37) für die Aufnahme der Kassetten und einer zweiten Öffnung (38) für das Bewegen der Wafer zu einer Bearbeitungsvorrichtung, wobei die Öffnungen in-line ausgestaltet sind, wobei wenigstens ein erster Verschluss (44) vorhanden ist, um die erste Öffnung zu verschließen, wobei der erste Verschluss ausgestattet ist, den Verschluss der Kassette aufzunehmen, wobei Zuführmittel (49) und Abgabemittel (50) in der Verschlusskammer für ein Gas bereitgestellt sind, dadurch gekennzeichnet, dass ein zweiter Verschluss (46) separat von dem ersten Verschluss (44) bereitgestellt ist, um die zweite Öffnung (38) zu verschließen.
  2. Vorrichtung nach Anspruch 1, wobei die Zuführmittel (49) für ein Gas bereitgestellt sind, um einen Gasstrom in die Kassette zu bewegen.
  3. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei die Verschlüsse im Wesentlichen flache Platten umfassen, von denen beide in der Ebene der ersten Öffnung bzw. der zweiten Öffnung weg bewegt werden, um die Öffnungen freizulegen.
  4. Anordnung, die eine Vorrichtung gemäß einem der Ansprüche 1 bis 3 umfasst, sowie eine damit wechselwirkende Kassette, wobei die Kassette einen Waferrahmen enthält, der permanent an das Gehäuse davon angebracht ist.
  5. Anordnung nach Anspruch 4, wobei die Ebene zur Aufnahme der Wafer in der Kassette im Wesentlichen senkrecht zu der Ebene der ersten Öffnung verläuft.
  6. Verfahren zum Übertragen von Wafern von/zu FOUP-Kassetten in einer Verschlusskammer, wobei die Kassette abdichtend mit der zu öffnenden Seite gegen die Verschlusskammer angeordnet wird, so dass der Verschluss der Kassette mit einem ersten Verschluss für eine erste Öffnung der Verschlusskammer zusammenfällt, wonach der Verschluss der Kassette zusammen mit dem Verschluss der Verschlusskammer in die Verschlusskammer entfernt wird, wobei die Verschlusskammer mit einem zweiten Verschluss für eine zweite Öffnung bereitgestellt ist, um die Wafer aus der Verschlusskammer zu entfernen bzw. in diese einzuführen, wobei während des Entfernens des Verschlusses der Kassette die zweite Öffnung geschlossen ist und ein Reinigungsgas durch die Verschlusskammer eingespeist wird, wobei der erste Verschluss in einer Richtung beabstandet ist, die senkrecht zu der Ebene der Öffnungen von der ersten Öffnung während des Reinigens beabstandet ist, und anschließend in eine Richtung parallel zu der Ebene der Öffnungen bewegt wird, sowie das Öffnen der zweiten Öffnung, indem der zweite Verschluss in eine Richtung bewegt wird, die parallel zu der zweiten Öffnung verläuft, dadurch gekennzeichnet, dass die Kassette relativ zu der Verschlusskammer während des Öffnens der Kassette, der Übertragung der Wafer und des Verschlusses der Kassetten stationär verbleibt und dadurch dass der erste und der zweite Verschluss unabhängig voneinander relativ zueinander bewegt werden.
DE69935039T 1998-06-05 1999-06-04 Verfahren und vorrichtung zum transport von halbleiterplättchen Expired - Fee Related DE69935039T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
NL1009327 1998-06-05
NL1009327A NL1009327C2 (nl) 1998-06-05 1998-06-05 Werkwijze en inrichting voor het overbrengen van wafers.
PCT/NL1999/000353 WO1999065064A1 (en) 1998-06-05 1999-06-04 Method and device for transferring wafers

Publications (2)

Publication Number Publication Date
DE69935039D1 DE69935039D1 (de) 2007-03-22
DE69935039T2 true DE69935039T2 (de) 2007-11-22

Family

ID=19767261

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69935039T Expired - Fee Related DE69935039T2 (de) 1998-06-05 1999-06-04 Verfahren und vorrichtung zum transport von halbleiterplättchen

Country Status (9)

Country Link
US (1) US6481945B1 (de)
EP (1) EP1082756B1 (de)
JP (1) JP3519687B2 (de)
KR (1) KR100391750B1 (de)
AU (1) AU4293399A (de)
DE (1) DE69935039T2 (de)
NL (1) NL1009327C2 (de)
TW (1) TW434777B (de)
WO (1) WO1999065064A1 (de)

Families Citing this family (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1008143C2 (nl) * 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6641350B2 (en) 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
US6632068B2 (en) * 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6848876B2 (en) * 2001-01-12 2005-02-01 Asyst Technologies, Inc. Workpiece sorter operating with modular bare workpiece stockers and/or closed container stockers
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
JP3880343B2 (ja) * 2001-08-01 2007-02-14 株式会社ルネサステクノロジ ロードポート、基板処理装置および雰囲気置換方法
JP3832294B2 (ja) * 2001-08-31 2006-10-11 株式会社ダイフク 荷保管設備
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
DE10238165B3 (de) * 2002-08-15 2004-03-25 Hans-Heinz Helge Langgestrecktes Rolladenprofil aus Kunststoff oder Metall für Schwimmbadabdeckungen
AU2003258237A1 (en) * 2002-08-26 2004-03-11 Tokyo Electron Limited Reduced volume plasma reactor
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
TWI228750B (en) * 2003-02-25 2005-03-01 Samsung Electronics Co Ltd Apparatus and method for processing wafers
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US9010384B2 (en) 2004-06-21 2015-04-21 Right Mfg. Co. Ltd. Load port
US20090169342A1 (en) * 2004-06-21 2009-07-02 Takehiko Yoshimura Load port
DE102004058108B4 (de) * 2004-12-01 2006-12-28 Asys Automatic Systems Gmbh & Co. Kg Transfereinheit einer Bearbeitungsanlage
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US20070119371A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7553516B2 (en) 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US20080176149A1 (en) 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20080118334A1 (en) * 2006-11-22 2008-05-22 Bonora Anthony C Variable pitch storage shelves
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
JP2009054859A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 基板受入装置及び基板受入方法
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI723024B (zh) 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
TWI778102B (zh) * 2017-08-09 2022-09-21 荷蘭商Asm智慧財產控股公司 用於儲存基板用之卡匣的儲存設備及備有其之處理設備
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) * 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3836696C1 (en) * 1988-10-28 1989-12-07 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De Lock for transporting material between clean rooms
US5169272A (en) * 1990-11-01 1992-12-08 Asyst Technologies, Inc. Method and apparatus for transferring articles between two controlled environments
JPH04206547A (ja) * 1990-11-30 1992-07-28 Hitachi Ltd 装置間搬送方法
JPH081923B2 (ja) * 1991-06-24 1996-01-10 ティーディーケイ株式会社 クリーン搬送方法及び装置
JP3191392B2 (ja) * 1992-04-07 2001-07-23 神鋼電機株式会社 クリーンルーム用密閉式コンテナ
JP3275390B2 (ja) * 1992-10-06 2002-04-15 神鋼電機株式会社 可搬式密閉コンテナ流通式の自動搬送システム
US5586585A (en) * 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
DE59611078D1 (de) * 1995-03-28 2004-10-14 Brooks Automation Gmbh Be- und Entladestation für Halbleiterbearbeitungsanlagen
US5609459A (en) * 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
US5674123A (en) * 1995-07-18 1997-10-07 Semifab Docking and environmental purging system for integrated circuit wafer transport assemblies
US5752796A (en) * 1996-01-24 1998-05-19 Muka; Richard S. Vacuum integrated SMIF system
US6082951A (en) * 1998-01-23 2000-07-04 Applied Materials, Inc. Wafer cassette load station
US6120229A (en) * 1999-02-01 2000-09-19 Brooks Automation Inc. Substrate carrier as batchloader
US6042324A (en) * 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system

Also Published As

Publication number Publication date
WO1999065064A1 (en) 1999-12-16
JP2002518824A (ja) 2002-06-25
US6481945B1 (en) 2002-11-19
EP1082756B1 (de) 2007-01-31
JP3519687B2 (ja) 2004-04-19
AU4293399A (en) 1999-12-30
KR20010052583A (ko) 2001-06-25
DE69935039D1 (de) 2007-03-22
KR100391750B1 (ko) 2003-07-16
NL1009327C2 (nl) 1999-12-10
TW434777B (en) 2001-05-16
EP1082756A1 (de) 2001-03-14

Similar Documents

Publication Publication Date Title
DE69935039T2 (de) Verfahren und vorrichtung zum transport von halbleiterplättchen
DE69916035T2 (de) Vorrichtung zur behandlung von halbleiterscheiben
DE69824562T2 (de) System zum transport von wafer von kassetten zum ofen und verfahren
DE69833832T2 (de) Vorrichtung zum Reinigen und Trocknen, Scheibenprozesssystem und Scheibenprozessverfahren
DE3442844C2 (de) Vorrichtung zur Behandlung einer Probe im Vakuum
EP0542793B1 (de) Anordnung zum lagern, transportieren und einschleusen von substraten
EP0735573B1 (de) Be- und Entladestation für Halbleiterbearbeitungsanlagen
DE69836425T2 (de) Behälter
DE102006028057A1 (de) Vorrichtung zum Lagern von kontaminationsempfindlichen, plattenförmigen Gegenständen, insbesondere zum Lagern von Halbleiterwafern
DE3047513A1 (de) Vorrichtung zum zufuehren von mikroplaettchen zu einer vakuumkammer
EP0805480A2 (de) SMIF-System zum Einschleusen von Substraten in Schleusenreinsträume
DE112014001586T5 (de) Vorrichtung zur Bearbeitung von zwei oder mehreren Substraten in einem Batch-Prozess
DE19540963C2 (de) Transportbehälter für scheibenförmige Objekte
WO2009046885A1 (de) Temperierkammer zum temperieren von elektronischen bauelementen, insbesondere ic's
EP0798068B1 (de) Vorrichtung zum Bearbeiten von flachen Werkstücken, wie z.B. Karten oder Buchdokumente
EP1634945B1 (de) Lufterwärmungsvorrichtung für Proben
EP1177570B1 (de) Einrichtung zum handhaben von substraten innerhalb und ausserhalb eines reinstarbeitsraumes
DE4210960C2 (de) Reinrauminsel und Verfahren zur reinraumgerechten Handhabung von in Behältern gelagerten Gegenständen
CH695872A5 (de) Reticle-Handhabungsvorrichtung.
DE3317574A1 (de) Werkstueck-sammel- und transportvorrichtung
EP0340345B1 (de) Vorrichtung zum Ein- und Ausschleusen von Substraten aus einem Vakuumkessel
DE4332657C2 (de) Vorrichtung zum Handhaben von Substraten in Reinsträumen und mit einer derartigen Vorrichtung versehene Schleuseneinrichtung
EP1299899B1 (de) Speichervorrichtung, insbesondere zur zwischenlagerung von test-wafern
DE3931985A1 (de) Transportspeicher fuer waferscheiben
CH696829A5 (de) Beschickungseinrichtung für Waferverarbeitungsprozesse.

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee