JP4919539B2 - カセット保管装置並びに半導体処理ステーション及びその操作方法 - Google Patents

カセット保管装置並びに半導体処理ステーション及びその操作方法 Download PDF

Info

Publication number
JP4919539B2
JP4919539B2 JP2001076334A JP2001076334A JP4919539B2 JP 4919539 B2 JP4919539 B2 JP 4919539B2 JP 2001076334 A JP2001076334 A JP 2001076334A JP 2001076334 A JP2001076334 A JP 2001076334A JP 4919539 B2 JP4919539 B2 JP 4919539B2
Authority
JP
Japan
Prior art keywords
cassette
docking
station
shelf
shelves
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001076334A
Other languages
English (en)
Other versions
JP2001298069A (ja
Inventor
ニュルマン ジェイム
シディ ニッシム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001298069A publication Critical patent/JP2001298069A/ja
Application granted granted Critical
Publication of JP4919539B2 publication Critical patent/JP4919539B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67271Sorting devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Warehouses Or Storage Devices (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、一般に基板の処理に関し、特に、基板カセットの保管および移動装置に関する。
【0002】
【発明の背景】
半導体デバイスは、コンピュータ、モニタ等で使用されるために、シリコンウェハまたはガラスプレート等の基板に作成される。これらのデバイスは、薄膜蒸着、酸化または硝化、エッチング、研磨、および、熱またはリトグラフ処理等の、一連の製造工程により製作される。複数の製造ステップは単一処理ステーションで実行されうるが、基板は、少なくとも幾つかの製造工程のために異なる処理ステーション間で輸送されなければならない。更に、特定のバッチの任意の基板は、増設のステーションを必要とする測定デバイスを使用してテストされうる。基板は、処理ステーションと、測定ステーションと、他の場所との間の移動のために、カセットに保管される。カセットは手動で処理ステーション間を運搬されうるが、カセットの移動は一般に自動化される。例えば、カセットは、自動搬送台車(AGV)で処理ステーションへ輸送され、その後AGVから処理ステーションのローディングプラットフォームへとロボットによりロードされうる。別のロボットが、基板をカセットから抜き取り、処理ステーションの処理チャンバへと輸送しうる。製造ステップが完了した時、基板はカセットへと元通りにロードされる。全ての基板が処理されカセットに戻されたら、カセットはローディングプラットフォームから移され、別の場所へとAGVにより輸送される。
【0003】
処理機材が遊ばないことを保証するために、未処理の基板のほぼ連続の供給が、処理ステーションで提供可能であるべきである。残念ながら、多くの処理ステーションは、ローディングプラットフォームで単一のカセットのみしか保持出来ない。従って、カセットの全ての基板が処理されたら、カセットは、手動でまたはAGVにより、未処理の基板を包含する新しいカセットと早急に取り替えられなくてはならない。このようなジャストインタイムのカセット在庫システムの動作は、効果の有るオペレータの監督か多数のAGVを必要とし、それにより製造設備のコストを増加させる。
【0004】
従って、システムダウンタイムが減少または無くなるように、連続して基板カセットを処理システムに供給する方法および装置が必要である。
【0005】
【発明の概要】
本発明は、処理ステーションの複数カセットを保管する方法および装置を提供し、未処理の基板のほぼ連続の供給が処理のために提供可能であること、および処理機材が遊ばないことを保証する。複数カセットは、フロントエンド支持フレームの処理ステーションで保管され、カセットは、基板が抜き取られ処理機材へ輸送される複数の垂直に配列されるドッキングステーションの1つに移動される。オートメーションシステムは、カセットをドッキングステーション間または処理ステーション間で移動するために、フレームに取り付けられ、さもなければ配列される。本発明の別の態様では、カセットは、AGVを使用することなく異なる処理ステーション間で輸送される。
【0006】
本発明の1つの態様では、複数の垂直に配列されるドッキングステーションおよび複数カセット保管ステーションを持つフロントエンドフレームを包含する装置が提供される。自動化される移動アセンブリは、望ましくは、カセットを保管ステーションとドッキングステーションとの間で移動するために、ドッキングステーションおよび保管ステーションに隣接して配列される。更に、自動化される移動アセンブリは、手動のまたはAGVの補助の必要なしでカセットを処理ステーション間で輸送するために、処理ステーション間に設置される。本発明の別の態様では、カセットの連続の供給を処理システムに提供する方法が提供される。本発明方法は、望ましくは、複数の垂直に配列されるドッキングステーションおよび複数保管ステーションを提供するステップ、および、基板が連続して処理ステーションに供給されることを保証するために、カセットを保管ステーションと移動ステーションとの間で移動するステップを包含する。更に、手動のまたはAGVの補助の必要なしで処理シーケンスを続行するために、カセットを処理ステーション間で移動する方法が提供される。
【0007】
本発明の他の目的、特徴および利点は、望ましい実施の形態の以下の詳細な記述、請求項、および添付の図面から、より十分に明白となるであろう。
【0008】
【発明の実施の形態】
以下の説明では、用語「基板」は、半導体デバイス処理システムで処理されているあらゆる対象を広く含む。用語「基板」は、例えば、半導体ウェハ、フラットパネルディスプレイ、ガラスプレートまたはディスク、プラスチック加工物を包含する。
【0009】
図1は、1つ以上の基板10が処理される本発明の処理ステーション20の平面図である。処理ステーション20は、ローディングおよび保管領域24からクリーンルーム壁26により分離されるフロントエンドステージング領域22を持つ。クリーンルーム壁26は、ローディングおよび保管領域24が設置されるクリーンルーム28を、処理システム32が収納されるグレイ領域30から分離する。ローディングおよび保管領域24は、基板のカセットが送り出され、処理ステーション20へと/から、ロード/アンロードされる場所である。処理システム32は、1つ以上のロードロックチャンバ34、中央移動チャンバ36、複数の処理チャンバ38を包含しうる。処理チャンバ38の内部では、基板は、薄膜蒸着、酸化、硝化、エッチング、熱処理またはリトグラフ処理等の、様々な製造ステップを受けうる。図1に図示する処理システムおよびステージング領域は、単に典型である。処理システムは、ただ単一の処理チャンバを持てる、または、機械的電気的ポリッシャ等の、いかなる処理チャンバも包含しないデバイスであることができる。更に、処理システムは、処理デバイスの代わりにまたはそれに加えて測定デバイスを包含できる。
【0010】
図1および2を参照すると、1つ以上の基板10が、カセット100の処理ステーションのローディングおよび保管領域24に運ばれる。基板10は、カセット100で一般に平行で水平な構成でスロットリッジ102により支持される。基板は、カセットの一般に平らな前面106に設置されるエントリポート103を通って、カセット100からロードまたアンロードされうる。着脱可能なカセットドア104は、カセットが処理ステーション間で移動されるまたは処理ステーションの外部に保管される時に、基板が汚染物質に晒されることを防止するために、エントリポート103に固定されうる。各カセット100はまた、カセットの下面110に形成される3つの円柱形のくぼみ108(図2に想像線で示し、そのうち2つのみ見える)を包含する。カセット100が処理ステーションで保管される時、3つの支持ピンが、カセットを支持するためにくぼみ108に嵌められる。側壁114から突出する2つのハンドル112(この斜視図では1つのみ示す)は、手動でカセットを運搬するために使用されうる。L字型の断面を持つ一般に長方形のフランジ116は、カセットの上面118から突出する。以下に述べるように、処理ステーションではロボットカセットムーバは、フランジ116を使ってカセットを操作しうる。
【0011】
図1〜5Bを参照すると、処理ステーション20のローディングおよび保管領域24は、カセットローディングプラットフォーム52、ローディングプラットフォーム52のどちらの側にも設置される2つのカセット基板移動即ちドッキングステーション40、およびカセットストッカ即ち保管ステーション50(ドッキングステーション40が見えるように、部分的にのみ図1に図示する)を、カセットを処理ステーションに保管し、カセットをローディングプラットフォーム52およびドッキングステーション40へまたそこから移動するために包含する。
【0012】
カセット100は、手動でまたはAGVによりローディングプラットフォーム52へ配置またはそこから移されうる。ローディングプラットフォーム52から、カセット100は、ドッキングステーション40の1つへまたはカセットストッカ50へ移動されうる。ローディングプラットフォーム52は一般に、カセットと同一の外周の形を持つ。3つの支持ピン54(2つのみ図5Aの側面図に示す)は、カセット100の下面のくぼみと嵌め合わせるために垂直にローディングプラットフォーム52から突出し、従ってカセットをローディングプラットフォーム52に固定する。
【0013】
クリーンルーム壁26を通じて基板を移動するために、カセット100(図1に想像線で示す)は、一方または両方のドッキングステーション40に位置付けられうる。各ドッキングステーション40は、カセットを支持する為のドッキングプラットフォーム42、クリーンルーム壁26を通じて形成される開口46、基板が開口46を通じて移動されない時またはカセットがドッキングプラットフォーム42に位置付けられない時に開口46を封止する可動ドア44、および、カセット内に保管される基板に水平なアクセスを提供するために、カセットドア104を解錠しドア104をフロントエンドステージング領域22へと後退させる為の機構、を包含する。例えば、可動ドア44は、開口46を通じてカセット内に保管される基板への水平なアクセスを可能にするように、「受け手」として振舞いカセットのカセットドア104を受け取り、その後に開口46の下方に移動しうる(カセットドア104を運搬する)。ドッキングステーション40の好ましい構成と操作の説明は、Eric A.Nering他により1998年1月23日に提出され、本発明の譲渡人に譲渡され、全開示を参照して本明細書に組み込まれる、米国特許出願番号09/012,323の“A Wafer Cassette Load Station”に見出しうる。
【0014】
カセットストッカ50は、1つ以上の保管ラック58(図4Aに示し、ドッキングステーションが示されうるように図1には図示しない)を支持するフレーム60、および、保管ラック58とローディングプラットフォーム52とドッキングプラットフォーム42との間でカセットを移動する為のロボットカセットムーバ56、を包含する。フレーム60は、クリーンルーム28の床にボルト締めおよび/またはクリーンルーム壁26に固定されうる。フレーム60は、処理ステーション20の設置面積が少しでも増加される場合に最小に増加されるように、少なくとも部分的にドッキングプラットフォーム42およびローディングプラットフォーム52の下方に配列される。
【0015】
保管ラック58は、2つのドッキングステーション40のそれぞれの上の支持シェルフ62の垂直の列を包含する。各列は、例えば、1つ、2つ、3つ、またはそれ以上の個々の支持シェルフ62を包含する。従って、図3〜5bに示す保管ラック58は、支持シェルフ62a〜62h(図4B参照)の6個のカセット100の為の保管スペースを提供する。支持シェルフ62aのような各支持シェルフは、カセット100と実質的に同一の外周の形を持つ水平向きプレートでありうる。3つの支持ピン64(2つのみ図5Aの側面図に示す)は、支持シェルフ62から垂直に突出し、カセット100の下面の受け取るくぼみ108と嵌め合わせるために位置付けられる。2つの垂直ポスト66は、各支持シェルフ62a〜62hの外縁をフレーム60に固定するために使用されうる。更に、支持シェルフ62は、増設の支持のためにクリーンルーム壁に固定される。
【0016】
ロボットカセットムーバ56は、保管シェルフ62とローディングプラットフォーム52とドッキングプラットフォーム42との間でカセット100を移動するために使用される。ロボットカセットムーバ56は、水平に可動な支持支柱74に取り付けられる垂直に可動なエンドエフェクタ72を包含する。支持支柱74は、クリーンルーム壁26に平行な面でのエンドエフェクタ72の任意の水平移動を可能にする。支持支柱74の底面は、フレーム60に取り付けられるまたはその一部として形成される水平ガイド78に沿って水平に動ける側方スライダ76に固定されうる。側方スライダ76は、水平駆動モータ82により回転される水平親ねじ80により左右から駆動されうる。水平駆動モータ82は、フレーム60に固定されうる。同様に、エンドエフェクタ72は、支持支柱74に取り付けられるまたはその一部として形成される垂直ガイド86(図5B)に沿って垂直に摺動可能な垂直スライダ84(図4B)に取り付けられうる。垂直スライダ84は、垂直駆動モータ88により回転されうる垂直親ねじ87(図4A〜5Bに想像線で示す)により起動または停止しうる。垂直駆動モータは、側方スライダ76により支持されうる。水平駆動モータ82および垂直駆動モータ88は、エンドエフェクタ72の垂直及び水平運動を制御するために、プログラマブルデジタルコンピュータ等の制御システム(図示せず)に接続されうる。エンドエフェクタ72の動きを駆動するアクチュエータは、ステッピングモータ、空気アクチュエータ、および制御可能に動きを与える既知の他のデバイスを包含しうる。更に、ベルト駆動アセンブリまたは他の既知の機構は、スライダを垂直および水平の両方に駆動するために利用される。
【0017】
図6〜7Aを参照すると、エンドエフェクタ72は、水平に支持支柱74からクリーンルーム壁26に向かって突出している。エンドエフェクタ72は、エンドエフェクタ72の一側面に開口している長方形のギャップ92を定義する一般に平らなフック形フィンガ90を包含する。エンドエフェクタ72は、エンドエフェクタ72の開口端を用いてカセット100の一部を噛み合わせるために適合される。カセット100を輸送するために、エンドエフェクタ72は、フランジ116とカセット100の上面118との間に垂直に位置付けられる。図7Bを参照すると、エンドエフェクタ72は、フランジ116のベース117がギャップ92に嵌められるように、水平方向に移動される。最後に、図7Cを参照すると、エンドエフェクタ72は、エンドエフェクタ72の内部リム94がフランジ116の下面119に接触しカセット100を持ち上げるように、垂直に上方へ移動される。エンドエフェクタ72は、カセット100を他の支持シェルフ62へまたはローディングプラットフォーム52へまたはドッキングプラットフォーム42へ運搬するために、その後に水平方向に移動されうる。
【0018】
図7A〜8Aを参照すると、カセット100は、ローディングプラットフォーム52から支持シェルフ62c等の支持シェルフへ運搬されうる。支持支柱74ローディングプラットフォーム52の側面に位置付けられた状態で、カセット100は、ローディングプラットフォーム52上に、手動でまたはAGVによりロードされる。カセット100を持ち上げてローディングプラットフォーム52から外すために、エンドエフェクタ72は、カセット100の上面118とフランジ116の下面との間の垂直高さでカセットの持ち上げに位置付けられる。支持支柱74は、エンドエフェクタ72が支持フランジと噛み合う(想像線A)まで、右方向に移動する。その後、エンドエフェクタ72は、カセット100を上げてローディングプラットフォーム52から外すために上方へ移動する(想像線B)。カセット100を支持シェルフの1つ、例えば、支持シェルフ62cへ移動するために、エンドエフェクタ72は、カセット100の下面が支持ピン64を越えて水平に移動することを可能にする十分な垂直の隙間を持って(想像線C)、カセット100が一般に支持シェルフ62cの上に並べられるまで、カセット100を上げる。その後、支持支柱74は、カセット100を支持シェルフ62cを越えて位置付けるために、左方向に移動され、エンドエフェクタ72は、カセット100が支持ピン64に載る(想像線D)まで、下方に移動する。エンドエフェクタ72は、フランジ116を無事通過するまで左方向に移動されること、その後にフランジ116の上部と支持シェルフ62bの底部との間を上方および右方向に移動される(想像線E)ことにより、取り外されうる。
【0019】
図8Bを参照すると、カセット100を支持シェルフ62cから移すために、これらのステップは一般に逆の順序で繰り返される。特に、エンドエフェクタ72は、フランジ116の上部と支持シェルフ62bの底部との間を左方向に移動し(想像線F)、エンドエフェクタ72がカセット100の上面とフランジ116の下面との間の垂直位置に設置されるまで下方に移動し(想像線G)、フランジ116と噛み合うまで右方向に移動する(想像線H)。その後、エンドエフェクタ72は、カセット100を持ち上げて支持プレート62bから外すために上方に移動し、その後、カセット100を保管ラック58間の垂直チャネルへと運搬するために右方向に移動する(想像線I)。この位置から、カセット100は、新しい保管シェルフへ、またドッキングプラットフォーム42の1つへ、またローディングプラットフォーム52へ、上下にその後左右に移動されうる。
【0020】
図7A〜8Bに記述する実施の形態では、エンドエフェクタ72のフック形フィンガ90は、長方形のギャップ92が右に開口するよう、右へ湾曲する。勿論、フック形フィンガ90が長方形のギャップ92が左に開口するよう左へ湾曲した場合は、カセット100と噛み合う為および離れる為のエンドエフェクタの相対的な水平方向運動は逆になる。例えば、カセット100を支持シェルフ62bから持ち上げるために、エンドエフェクタ72は、カセット100の右側に位置付けられ、フランジ116と噛み合うために左方向に移動される。
【0021】
図1〜3を参照すると、操作中、カセット100は、処理ステーション20へ輸送され、ローディングプラットフォーム52に、例えば、手動でまたはAGVにより配置される。ロボットカセットムーバ56は、カセットをローディングプラットフォーム52から、ドッキングステーションドア44と共にカセット100の前面に並ぶドッキングステーション40の1つへ輸送する。ドッキングステーションドア44はその後、エントリポート102がクリーンルーム壁26で開口46と嵌め合わされるように、開放されるカセットドア104を後退させる。フロントエンドステージング領域22のウェハハンドリングロボット48は、基板をカセット100からクリーンルーム壁26の開口46を通じて抜き取り、基板をロードロックチャンバ34の1つへと挿入する。移動チャンバ36のロボット39は、ロードロック34と処理チャンバ38との間で基板を移動する。製造ステップが完了した時、ウェハハンドリングロボット48は、基板をロードロックチャンバ34の1つから抜き取り、基板をカセット100へクリーンルーム壁26の開口46を通じて戻す。全ての基板が処理されたら、カセットドア104は閉鎖され、カセット100は保管ラック58へまたはローディングプラットフォーム52へ移動され、未処理の基板を包含する新しいカセット100はドッキングステーション40上へロードされる。
【0022】
上述の通り、処理システムは、製造ステップの代わりにまたはそれに加えて測定デバイスを使用して、検査処理を実行できる。測定ステーションは一般に、ウェハカセット100内に保管される処理済みのおよび/または未処理のウェハから、サンプルを抜き取りテストする。一般に、測定ステーションは、測定のために選択される各ウェハカセット100内の1つのウェハだけをテストし、カセット100は、任意にまたは標準測定原理に従って幾つかの所定の選択基準に基づいて、選択される。従って、測定のために差し出される各ウェハカセット100の、測定ステーションと関連して操作される特定のドッキングステーション40での常駐時間は、ウェハカセット100が処理ステーションでの処理のために差し出された場合よりも著しく少ない。その結果、ドアを開放および閉鎖するために必要な時間、および、第1のカセット100をドッキングステーション40から移動し第1のカセット100を第2のカセット100と取り替えるために必要な時間は、わずか1つまたは2つのドッキングステーション40を使用する場合、測定ステーションの望ましくないダウンタイムという結果になる。更に、特定の処理ステーション、特に処理時間の短いものは、わずか1つまたは2つのドッキングステーション40を使用するために、不必要なダウンタイムをも持ちうる。
【0023】
従って、各保管ラック58と関連して垂直に積み重ねられる複数のドッキングステーションを組み込むことが望ましい。図9〜11は、2つの下段基板ドッキングステーション40および2つの上段基板ドッキングステーション540を持つ処理ステーション20の、ローディングおよび保管領域24の、積み重ねられるドッキングステーションの実施の形態を図示する。前の実施の形態と同様に、処理ステーション20のローディングおよび保管領域24は、カセットローディングプラットフォーム52、ローディングプラットフォーム52のどちらの側にも設置される2つの下段カセットドッキング即ち基板移動ステーション40、およびカセットストッカ即ち保管ステーション50を、カセット100を処理ステーションに保管し、カセット100をローディングプラットフォーム52およびドッキングステーション40へまたそこから移動するために、包含する。この実施の形態は、しかし、2つの上段カセットドッキング即ち基板移動ステーション540も包含し、それぞれがドッキングステーション40の1つの上に設置される。ドッキングステーションは従って、少なくとも部分的に重なり、望ましくは設置面積で完全に重なる。垂直に配列されるドッキングステーションはまた、1つ(望ましくは)以上の支持シェルフ62に相対的に垂直に配列される。
【0024】
この実施の形態に従って、カセット100は、手動でまたはAGVにより、ローディングプラットフォーム52上に配置またはそこから移されうる。基板をクリーンルーム壁26を通じて移動するために、カセット100は、下段ドッキングステーション40の1つに、または上段ドッキングステーション540の1つにも位置付けられる。各下段ドッキングステーション40は、カセット100を支持する為の下段ドッキングプラットフォーム42、クリーンルーム壁26を通じて形成される下段開口46、基板が下段開口46を通じて移動されない時またはカセット100が下段ドッキングプラットフォーム42に位置付けられない時に下段開口46を封止する下段可動ドア44、および、基板に水平なアクセスを提供するために、カセットドア104を解錠しドア104をフロントエンドステージング領域22へと後退させる為の機構、を包含する。各上段ドッキングステーション540も同様に、カセットを支持する為の上段ドッキングプラットフォーム542、クリーンルーム壁26を通じて形成される開口546、基板が上段開口546を通じて移動されない時またはカセット100が上段ドッキングプラットフォーム542に位置付けられない時に上段開口546を封止する上段可動ドア544、および、基板に水平なアクセスを提供するために、カセットドア104を解錠しドア104をフロントエンドステージング領域22へと後退させる為の機構、を包含する。
【0025】
上段ドッキングステーション540は、下段ドッキングステーション40と同様の構造および操作である。同様に、上段可動ドア544は、下段可動ドア44と同様の構造および操作である。当業者に容易に正当に評価される通りに、上段可動ドア544の下向きの動きが下段可動ドア44の操作を妨げないよう、好適なスペースが上段開口546と下段開口46との間に提供される。代替の実施の形態では、上段可動ドア544は上方に開放するように配列され、2つのドア44、544および2つのドッキングステーション540、40が共により近接して設置されることを可能にする(図14参照)。当業者にまた容易に正当に評価されることは、カセット100の上段ドッキングプラットフォーム542への輸送およびシステムの操作は、他の実施の形態で説明されるものと同様である。本願で説明した実施の形態は、保管ラック58のそれぞれと関連付けられた上段及び下段ドッキングステーション40、540を持つ。しかし、注意すべきは、複数の上段ドッキングステーション540は、利用可能な垂直スペースのみに限定されて使用されうることである。更に、上段ドッキングステーション540および下段ドッキングステーション40は、1つ以上の支持シェルフ62により分離されうる、または上段及び下段ドッキングステーションは両方とも、複数の支持シェルフ62の1つ以上の上に位置付けられうる。
【0026】
上記の実施の形態は、2対のドッキングステーション40、540の上に配列される2つの保管ラック58、および2つのドッキングステーションの間に配列されるローディングプラットフォーム52をも包含する。望ましくは、6つのカセット支持シェルフ62a〜62fは、ドッキングステーション40、540の上に配列される。この構成が、利用可能なスペースの基板の最高のスループットを提供すると考えられる一方、本発明は、ドッキングステーション40、540の近傍に配列される1つ以上のカセット支持シェルフ62を持つ、図13に示すような単一の対のドッキングステーション40、540をも包含する。
【0027】
図9は、2対のドッキングステーション40、540、および、対のドッキングステーション40、540のそれぞれの上に配列される3つの保管シェルフ62a〜cまたは62d〜fを持つ本発明の1つの実施の形態の斜視図である。3つの支持シェルフ62a〜cまたは62d〜fが示される一方、ただ1つの支持シェルフ62aまたは62dもまた有利に使用される。これまでに記述された実施の形態の一部を形成する構成要素は、同一の参照番号を使用して識別される。図10および11は更に、ドッキングステーション40、540および保管シェルフ62a〜cを側面図で、カセット有りおよび無しをそれぞれ図示する。図12を参照すると、ステーション間移動装置120は、隣接する処理ステーション20′と20″との間でカセット100を移動するために使用されうる、それによりAGVまたは手動輸送の必要を無くす。ステーション間移動装置120は、隣接するカセットストッカ50′および50″のポスト66′および66″に固定されうる、オーバーヘッド支持ビーム122を包含する。移動アーム124は、支持ビーム122に取り付けられるまたはその一部として形成されるガイド127に沿って水平に移動する、スライダ126に取り付けられうる。スライダ126は、水平駆動モータ132により回転されうる親ねじ130により、水平に移動されうる。エンドエフェクタ128は、エンドエフェクタ128に短い垂直のストロークを提供する空気アクチュエータ134により、移動アーム124に接続されうる。
【0028】
隣接する処理ステーション20′と20″との間でカセット100を輸送するために、カセット100は、ロボットカセットムーバ56′によりカセットストッカ50′の上部右側支持シェルフ、即ち、支持シェルフ62e′へ移動される。エンドエフェクタ128は、水平にフランジ116を越えて移動し、その後はフランジの底部とカセットの上部との間の垂直位置へ下がる。その後、エンドエフェクタ128は、カセットフランジ116と噛み合うまで右へ移動する。エンドエフェクタ128は、カセット100を持ち上げ支持プレート62e′から外し、水平駆動モータ132は、カセット100がカセットストッカ50″の上部左側支持シェルフ62a″の上に設置されるまで、移動アーム124を右方向に駆動する。最後に、エンドエフェクタ128は、カセット100を支持シェルフ62a″の上へと下げて取り外す。
【0029】
ステーション間移動装置120は、隣接する処理ステーション20′、20″の間でカセット100を移動する極度に簡単な方法を提供する。これは、処理ステーションの1つが測定ステーション(完全にクリーンルーム28の内部に設置される)である場合に、特に有用であり、なぜなら、測定装置を処理機材20′、20″に内蔵することなく、また工場オートメーションシステム22を使用することなく、測定計測が行われることを可能にするからである。
【0030】
カセットストッカ50′および50″は同一のクリーンルーム壁26を背景に位置付けられて図示されてはいるが、ステーション間移動装置120は、異なる支持ビームのエンドエフェクタ128間のハンドオフを可能にする回転機構を包含できる。これは、処理ステーション20′と20″がクリーンルームのコーナーの周囲または反対側の壁に位置付けられることを可能にする。
【0031】
上記の実施の形態は、それぞれがドッキングステーション40、540の上に配列される2つの保管ラック58、および2つの保管ラック58の間に配列されるローディングステーション52を包含する。望ましくは、6つのカセット支持シェルフ62a〜62fは、1つ以上のドッキングステーションのそれぞれの上に配列される。この構成が利用可能なスペースの基板の最高のスループットを提供すると考えられる一方、本発明は、ドッキングステーション40、540の近傍に配列される1つ以上のカセット支持シェルフ62を持つ、単一の対のドッキングステーション40、540をも包含する。図13および14は、単一の対のドッキングステーション40、540およびドッキングステーション40、540の上に配列される2つの保管シェルフ62a〜bを持つ、カセットストッカの正面図である。上段可動ドア544は、図13では下方に、図14では上方に開放する。上段可動ドア544が図14に示すように上方に開放する時、カセットストッカの全体の高さを減少するように、2つのドッキングステーション540、40は、共により近接して設置される。図13および14の両方の実施の形態で、支持シェルフ62aは、ただ1つの支持シェルフ62bが有利に使用されることを示すために、想像線で示される。エンクロージャ550は、ドッキングステーション40、540、ローディングステーション52、およびカセット支持シェルフ62の周りに配列される。これまでに記述された実施の形態の一部を形成する構成要素は、同一の番号を使用して識別される。
【0032】
基板は、ドッキングステーション40、540位置のどちらか、またいずれか1つの保管シェルフ位置62、またローディングステーション52で、システム20にロードされうる。ローディングステーション52は、支持シェルフ62かドッキングステーション40、540のどちらかに隣接して配列される。他の実施の形態に関連する上記の基板ムーバシステムは、図13の単一スタックの実施の形態で利用され、増設のスタックから移動シーケンスを無くす単一スタックに配列される支持シェルフ62以外は、同様の方法で操作される。
【0033】
本明細書で記述されるそれぞれの実施の形態は、ドッキングステーションの上下の利用可能なスペースを利用し、それにより、システムの設置面積(フロアスペースの観点から計測されるシステムにより占められる領域)が増加することなくシステムの保管容量が増加する。本願で示される保管シェルフは対のドッキングステーションの上に設置されるが、理解されるべき点は、1つ以上の保管シェルフが複数の垂直に配列されるドッキングステーションの下または間に設置されることである。従って、処理システムは、ドッキングステーションに隣接して配列されるカセット支持シェルフを包含する本発明の保管デバイスのあらゆる構成を利用できる。更に、垂直に配列される対のドッキングステーションは、望ましくは1つ以上の支持シェルフの各スタックに関連付けられるが、1対の水平に配列されるドッキングステーションは、支持シェルフの各スタックに関連付けられる。このような水平構成では、各ドッキングステーションは、単独のツールに連結される。単独のツールは、望ましくは高いスループットのツール(測定ツール等)である。望ましくは、カセットムーバもまた、支持シェルフとドッキングステーションとの間でカセットの移動を行うために、支持シェルフおよびドッキングステーションの近傍に配列される。従って、本発明がその望ましい実施の形態に関連して開示された一方で、理解されるべきことは、他の実施の形態が、請求項により定義される本発明の精神と範囲に入りうることである。
【図面の簡単な説明】
【図1】本発明による処理ステーションの概略平面図である。
【図2】基板を保持するカセットの概略斜視図である。
【図3】本発明によるカセットストッカの概略斜視図である。
【図4A】図3のカセットストッカの概略正面図である。
【図4B】複数のカセットを持つ図4Aのカセットストッカの概略正面図である。
【図5A】図3のカセットストッカの概略側面図である。
【図5B】複数のカセットを持つ図3Aのカセットストッカの概略側面図である。
【図6】ドッキングステーションの上に設置されるエンドエフェクタの概略平面図である。
【図7A】カセットを持ち上げ移動する図6のエンドエフェクタを図示する概略斜視図である。
【図7B】カセットを持ち上げ移動する図6のエンドエフェクタを図示する概略斜視図である。
【図7C】カセットを持ち上げ移動する図6のエンドエフェクタを図示する概略斜視図である。
【図8A】図6のエンドエフェクタがカセットをローディングプラットフォームとカセットドッキングプラットフォームとの間で移動する際に利用する経路を図示する図3のカセットストッカの概略正面図である。
【図8B】図6のエンドエフェクタがカセットをローディングプラットフォームとカセットドッキングプラットフォームとの間で移動する際に利用する経路を図示する図3のカセットストッカの概略正面図である。
【図9】複数の垂直に配列されるドッキングステーションを持つカセットストッカの別の実施の形態の概略斜視図である。
【図10】図9のカセットストッカの概略側面図である。
【図11】複数のカセットを持つ図9のカセットストッカの概略側面図である。
【図12】ステーション間移動装置により接続される2つのローディングおよび保管ステーションの概略正面図である。
【図13】垂直に配列される単一の対のドッキングステーションを持つカセットストッカの概略正面図である。
【図14】ドアが反対方向に開放される、垂直に配列される単一の対のドッキングステーションを持つカセットストッカの簡略化した概略側面図である。
【符号の説明】
10 基板
20 処理ステーション
26 クリーンルーム壁
28 クリーンルーム
40 ドッキングステーション
42 ドッキングプラットフォーム
46 開口
50 カセットストッカ
52 ローディングプラットフォーム
56 ロボットカセットムーバ
60 フレーム
62 支持シェルフ
72 エンドエフェクタ
100 カセット
116 フランジ

Claims (14)

  1. カセットを保管する装置であって、
    それぞれカセットを支持する構造を有する、2つ以上の垂直に配列されるドッキングステーションと、
    上記2つ以上のドッキングステーションのうちの少なくとも1つのドッキングステーションの上方にあって、当該ドッキングステーションに対して垂直方向に整列されている第1の複数のカセット保管シェルフと、
    上記2つ以上のドッキングステーションのうちの少なくとも1つのドッキングステーションの上方にあって、当該ドッキングステーションに対して垂直方向に整列されている第2の複数のカセット保管シェルフであって、カセットの垂直方向の移動を可能とするサイズを有する垂直チャネルにより上記第1の複数のカセット保管シェルフと隔てられている第2の複数のカセット保管シェルフと、
    上記垂直チャネルの垂直下方に位置するローディングプラットフォームと、
    カセットを上記シェルフと上記2つ以上のドッキングステーションとの間で運搬するために、上記シェルフに隣接して位置付けられ上記シェルフに平行な経路で可動な支持部材を持つカセットムーバと、
    上記支持部材に可動に接続されカセットに噛み合うよう構成されるエンドエフェクタと
    を備える装置。
  2. 上記シェルフがクリーンルーム壁に隣接して位置付けられるよう構成される、請求項1に記載の装置。
  3. 上記シェルフの1つ以上が上記2つ以上のドッキングステーションの上に位置付けられる、請求項2に記載の装置。
  4. 上記カセット保管シェルフおよび上記支持部材を支持するフレームを更に含む、請求項1に記載の装置。
  5. 上記フレームが上記2つ以上のドッキングステーションの下に収まる、請求項4に記載の装置。
  6. 上記支持部材の下端が摺動可能に上記フレームに接続される上記2つ以上のドッキングステーションの下に位置付けられるフレームを更に含む、請求項1に記載の装置。
  7. 上記支持部材に接合される垂直ガイドおよび上記垂直ガイドに摺動可能に噛み合う第1のスライダを更に含み、上記エンドエフェクタが上記第1のスライダに接合される、請求項6に記載の装置。
  8. 上記フレームに接合される水平ガイドおよび上記水平ガイドに摺動可能に噛み合う第2のスライダを更に含み、上記支持部材が上記第2のスライダに接合される、請求項7に記載の装置。
  9. 上記エンドエフェクタを垂直に移動するために上記第1のスライダを上記第1のガイドに沿って駆動させるように、上記第1のスライダに噛み合う第1の親ねじ、および上記第1の親ねじを回転させるよう適合される第1の駆動モータを更に含む、請求項8に記載の装置。
  10. 上記第2のスライダに噛み合う第2の親ねじ、および、上記第2の親ねじを回転させ、上記支持部材および上記エンドエフェクタを水平に移動するために上記第2のスライダを上記第2のガイドに沿って駆動させるよう適合される、第2の駆動モータを更に含む、請求項9に記載の装置。
  11. 上記エンドエフェクタがカセットの上部のフランジの底面に噛み合うよう適合されるフック形フィンガを包含する、請求項1に記載の装置。
  12. 各シェルフが、上記シェルフに位置付けられるカセットの下面の対応するくぼみに噛み合うために上記シェルフから垂直に突出する複数のピンを包含する、請求項1に記載の装置。
  13. 半導体処理ステーションであって、
    基板に処理を実行する処理システムと、
    上記処理システムをクリーンルームから分離するインタフェース壁と、
    上記クリーンルームに設置されカセットを支持するよう適合される1対の垂直に配列されるドッキングステーションと、
    上記インタフェース壁の開口であり、上記開口は上記ドッキングステーションと上記処理システムとの間に位置付けられた、開口と、
    基板を上記開口を通じて上記ドッキングステーションと上記処理システムとの間を移動させるウェハ移動ロボットと、
    上記クリーンルームに上記ドッキングステーションに隣接して設置されるローディングプラットフォームと、
    上記クリーンルームに設置されるカセットストッカと
    を備え、
    上記カセットストッカは、
    i)上記複数のドッキングステーションのうちの少なくとも1つのドッキングステーションの上方にあって、当該ドッキングステーションに対して垂直方向に整列されている第1の複数のシェルフ
    ii)上記複数のドッキングステーションのうちの少なくとも1つのドッキングステーションの上方にあって、当該ドッキングステーションに対して垂直方向に整列されている第2の複数のシェルフであって、カセットの垂直方向の移動を可能とするサイズを有する垂直チャネルにより上記第1の複数のシェルフと隔てられている第2の複数のシェルフと、
    iii)カセットを上記シェルフと上記ローディングプラットフォームと上記ドッキングステーションとの間で運搬するカセットムーバ
    を含み、
    上記カセットムーバは、上記シェルフに隣接して位置付けられ上記シェルフに平行な経路で可動な支持部材、および上記支持部材に摺動可能に接続されカセットに噛み合うよう構成されるエンドエフェクタを含
    上記ローディングプラットフォームは、上記垂直チャネルの垂直下方に位置する
    半導体処理ステーション。
  14. 請求項13に係る処理ステーションを操作する方法であって、
    複数のカセットを上記クリーンルーム壁に隣接する上記第1及び第2の複数のシェルフに保管するステップと
    上記カセットの1つを上記ドッキングステーションの1つへ上記カセットムーバを用いて運搬するステップと、
    基板を上記カセットから移すステップと、
    上記基板に測定処理を実行するステップと、
    上記カセットを上記第1及び第2の複数のシェルフのうちいずれかへ上記カセットムーバを用いて戻すステップと
    を含む方法。
JP2001076334A 2000-03-16 2001-03-16 カセット保管装置並びに半導体処理ステーション及びその操作方法 Expired - Fee Related JP4919539B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/527092 2000-03-16
US09/527,092 US6506009B1 (en) 2000-03-16 2000-03-16 Apparatus for storing and moving a cassette

Publications (2)

Publication Number Publication Date
JP2001298069A JP2001298069A (ja) 2001-10-26
JP4919539B2 true JP4919539B2 (ja) 2012-04-18

Family

ID=24100060

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001076334A Expired - Fee Related JP4919539B2 (ja) 2000-03-16 2001-03-16 カセット保管装置並びに半導体処理ステーション及びその操作方法

Country Status (6)

Country Link
US (4) US6506009B1 (ja)
EP (1) EP1134641B1 (ja)
JP (1) JP4919539B2 (ja)
KR (1) KR100905565B1 (ja)
DE (1) DE60100575T2 (ja)
TW (1) TW504732B (ja)

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6540466B2 (en) * 1996-12-11 2003-04-01 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
US6283692B1 (en) 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
US20020090282A1 (en) * 2001-01-05 2002-07-11 Applied Materials, Inc. Actuatable loadport system
US6773220B1 (en) * 2001-04-30 2004-08-10 Intrabay Automation, Inc. Semi-conductor wafer cassettes modular stocker
US20030031538A1 (en) * 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
DE10157192A1 (de) * 2001-11-23 2003-06-12 Ortner C L S Gmbh Lagereinrichtung
JP4182521B2 (ja) * 2001-12-04 2008-11-19 ローツェ株式会社 容器の一時的搬入、留置、搬出用装置
US7677859B2 (en) 2002-07-22 2010-03-16 Brooks Automation, Inc. Substrate loading and uploading station with buffer
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7243003B2 (en) * 2002-08-31 2007-07-10 Applied Materials, Inc. Substrate carrier handler that unloads substrate carriers directly from a moving conveyor
US7506746B2 (en) 2002-08-31 2009-03-24 Applied Materials, Inc. System for transporting substrate carriers
US6955197B2 (en) 2002-08-31 2005-10-18 Applied Materials, Inc. Substrate carrier having door latching and substrate clamping mechanisms
US7258520B2 (en) 2002-08-31 2007-08-21 Applied Materials, Inc. Methods and apparatus for using substrate carrier movement to actuate substrate carrier door opening/closing
US20040081546A1 (en) * 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US7684895B2 (en) 2002-08-31 2010-03-23 Applied Materials, Inc. Wafer loading station that automatically retracts from a moving conveyor in response to an unscheduled event
US7930061B2 (en) * 2002-08-31 2011-04-19 Applied Materials, Inc. Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback
US7578647B2 (en) * 2003-01-27 2009-08-25 Applied Materials, Inc. Load port configurations for small lot size substrate carriers
US7778721B2 (en) 2003-01-27 2010-08-17 Applied Materials, Inc. Small lot size lithography bays
US7221993B2 (en) 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
US7611318B2 (en) * 2003-01-27 2009-11-03 Applied Materials, Inc. Overhead transfer flange and support for suspending a substrate carrier
CH696829A5 (de) * 2003-07-11 2007-12-14 Tec Sem Ag Beschickungseinrichtung für Waferverarbeitungsprozesse.
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20050113976A1 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
US7798309B2 (en) * 2003-11-13 2010-09-21 Applied Materials, Inc. Stabilizing substrate carriers during overhead transport
TWI367192B (en) * 2003-11-13 2012-07-01 Applied Materials Inc Calibration of high speed loader to substrate transport system
TW200524073A (en) * 2003-11-13 2005-07-16 Applied Materials Inc Kinematic pin with shear member and substrate carrier for use therewith
US7784164B2 (en) 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US7409263B2 (en) * 2004-07-14 2008-08-05 Applied Materials, Inc. Methods and apparatus for repositioning support for a substrate carrier
EP1803151B1 (en) * 2004-08-23 2011-10-05 Murata Machinery, Ltd. Elevator-based tool loading and buffering system
JP4266197B2 (ja) 2004-10-19 2009-05-20 東京エレクトロン株式会社 縦型熱処理装置
JP2006232357A (ja) * 2005-02-25 2006-09-07 Yuyama Manufacturing Co Ltd 錠剤充填装置
US8303233B2 (en) * 2005-08-01 2012-11-06 Worthwhile Products Storage and retrieval system
KR100739632B1 (ko) 2005-12-21 2007-07-13 삼성전자주식회사 반도체 모듈 테스트 설비
US20100310351A1 (en) * 2006-03-30 2010-12-09 Tokyo Electron Limited Method for handling and transferring a wafer case, and holding part used therefor
US20070258796A1 (en) * 2006-04-26 2007-11-08 Englhardt Eric A Methods and apparatus for transporting substrate carriers
US7418982B2 (en) 2006-05-17 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier and facility interface and apparatus including same
US8776841B2 (en) * 2006-06-19 2014-07-15 Entegris, Inc. System for purging reticle storage
JP4904995B2 (ja) * 2006-08-28 2012-03-28 シンフォニアテクノロジー株式会社 ロードポート装置
US20080118334A1 (en) * 2006-11-22 2008-05-22 Bonora Anthony C Variable pitch storage shelves
US9834378B2 (en) * 2006-12-22 2017-12-05 Brooks Automation, Inc. Loader and buffer for reduced lot size
US7857222B2 (en) 2007-08-16 2010-12-28 Hand Held Products, Inc. Data collection system having EIR terminal interface node
KR20090026099A (ko) 2007-09-06 2009-03-11 아시스트 테크놀로지스 재팬 가부시키가이샤 보관고, 반송 시스템 및 보관고 세트
JP2009062153A (ja) * 2007-09-06 2009-03-26 Asyst Technologies Japan Inc 保管庫
JP5369419B2 (ja) * 2007-10-18 2013-12-18 村田機械株式会社 保管庫、保管庫セット及び保管庫付き搬送システム
US8672121B2 (en) 2007-10-22 2014-03-18 Applied Materials, Inc. Methods and apparatus for transporting substrate carriers
JP2009135232A (ja) * 2007-11-29 2009-06-18 Sinfonia Technology Co Ltd ロードポート
JP5209954B2 (ja) 2007-12-21 2013-06-12 株式会社ユーテック 成膜処理用治具及びプラズマcvd装置
TWI481539B (zh) * 2007-12-25 2015-04-21 Murata Machinery Ltd 保管庫以及出入庫方法
US7984543B2 (en) * 2008-01-25 2011-07-26 Applied Materials, Inc. Methods for moving a substrate carrier
US8992153B2 (en) * 2008-06-30 2015-03-31 Intevac, Inc. System and method for substrate transport
WO2010014761A1 (en) 2008-07-29 2010-02-04 Intevac, Inc. Processing tool with combined sputter and evaporation deposition sources
US8886354B2 (en) * 2009-01-11 2014-11-11 Applied Materials, Inc. Methods, systems and apparatus for rapid exchange of work material
JP5284808B2 (ja) 2009-01-26 2013-09-11 株式会社Sokudo ストッカー装置及び基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5083278B2 (ja) * 2009-06-15 2012-11-28 村田機械株式会社 装置前自動倉庫
JP5463758B2 (ja) * 2009-06-26 2014-04-09 村田機械株式会社 保管庫
TWI496732B (zh) * 2009-07-31 2015-08-21 Murata Machinery Ltd 供工具利用之緩衝儲存和運輸裝置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
US9497092B2 (en) 2009-12-08 2016-11-15 Hand Held Products, Inc. Remote device management interface
JP5318005B2 (ja) 2010-03-10 2013-10-16 株式会社Sokudo 基板処理装置、ストッカー装置および基板収納容器の搬送方法
EP2554495B1 (en) 2010-04-02 2020-08-05 Murata Machinery, Ltd. Automated warehouse
WO2011141960A1 (ja) * 2010-05-12 2011-11-17 ムラテックオートメーション株式会社 自動倉庫及び移載方法
US9056718B2 (en) 2010-05-13 2015-06-16 Murata Machinery, Ltd. Transfer device
EP2581327B1 (en) * 2010-06-10 2019-10-02 Murata Machinery, Ltd. Conveyance system and method of communication in conveyance system
JP2012146870A (ja) * 2011-01-13 2012-08-02 Disco Abrasive Syst Ltd カセット収容装置
KR101231968B1 (ko) 2011-03-17 2013-02-15 노바테크인더스트리 주식회사 기판 이송 시스템
EP2706563B1 (en) * 2011-05-02 2015-09-16 Murata Machinery, Ltd. Automated warehouse
US9190304B2 (en) * 2011-05-19 2015-11-17 Brooks Automation, Inc. Dynamic storage and transfer system integrated with autonomous guided/roving vehicle
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8887367B2 (en) * 2011-07-12 2014-11-18 The Boeing Company Cell including clean and dirty sections for fabricating composite parts
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8621123B2 (en) 2011-10-06 2013-12-31 Honeywell International Inc. Device management using virtual interfaces
US8539123B2 (en) 2011-10-06 2013-09-17 Honeywell International, Inc. Device management using a dedicated management interface
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20140089517A (ko) * 2011-11-09 2014-07-15 신포니아 테크놀로지 가부시끼가이샤 로드 포트, efem
KR20130063131A (ko) * 2011-12-06 2013-06-14 삼성전자주식회사 터치 감지 파라미터 설정 방법 및 장치
EP2889235B1 (en) * 2012-08-21 2020-08-05 Murata Machinery, Ltd. Stocker provided with purging functionality, stocker unit, and method for supplying cleaning gas
US9287150B2 (en) 2012-10-09 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Reticle transfer system and method
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9600634B2 (en) * 2013-06-28 2017-03-21 Carefusion 303, Inc. Secure medication transport
JP6235294B2 (ja) * 2013-10-07 2017-11-22 東京エレクトロン株式会社 基板搬送室及び容器接続機構
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9530678B2 (en) * 2014-07-28 2016-12-27 Infineon Technologies Ag Substrate carrier system for moving substrates in a vertical oven and method for processing substrates
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6329880B2 (ja) * 2014-10-31 2018-05-23 富士フイルム株式会社 光学フィルム、光学フィルムの製造方法、偏光板、及び画像表示装置
JP6405259B2 (ja) * 2015-02-12 2018-10-17 株式会社Screenホールディングス 基板処理装置および基板処理方法
TWI661479B (zh) 2015-02-12 2019-06-01 日商思可林集團股份有限公司 基板處理裝置、基板處理系統以及基板處理方法
US20160236865A1 (en) * 2015-02-16 2016-08-18 David Altemir Automated Warehouse Storage and Retrieval System
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101609338B1 (ko) 2015-03-16 2016-04-05 크린팩토메이션 주식회사 웨이퍼 캐리어를 천정에 보관하고 처리하는 장치
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
CN107324041B (zh) 2016-04-29 2019-11-26 上海微电子装备(集团)股份有限公司 用于片盒夹持的机械手及自动片盒搬运装置
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7349240B2 (ja) * 2018-10-05 2023-09-22 東京エレクトロン株式会社 基板倉庫及び基板検査方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11551959B2 (en) 2019-10-29 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for automated wafer carrier handling
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US20230134774A1 (en) * 2020-03-05 2023-05-04 Murata Machinery, Ltd. Storage shelf
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4884572A (en) * 1986-05-20 1989-12-05 Concept, Inc. Tack and applicator for treating torn bodily material in vivo
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS6467932A (en) 1987-09-08 1989-03-14 Mitsubishi Electric Corp Semiconductor wafer cassette conveyor
US4964776A (en) * 1987-12-01 1990-10-23 Tsubakimoto Chain Co. Article transfer and storage system
US4986715A (en) 1988-07-13 1991-01-22 Tokyo Electron Limited Stock unit for storing carriers
US5064337A (en) * 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
JPH0797564B2 (ja) 1990-02-21 1995-10-18 国際電気株式会社 縦型半導体製造装置
JP2565786B2 (ja) 1990-03-09 1996-12-18 三菱電機株式会社 自動搬送装置及び方法
JPH04158508A (ja) 1990-10-22 1992-06-01 Mitsubishi Electric Corp 半導体ウェハ搬送システム
US5387265A (en) 1991-10-29 1995-02-07 Kokusai Electric Co., Ltd. Semiconductor wafer reaction furnace with wafer transfer means
EP0552756A1 (en) 1992-01-21 1993-07-28 Shinko Electric Co. Ltd. Article storage house in a clean room
ATE129361T1 (de) 1992-08-04 1995-11-15 Ibm Fertigungsstrasse architektur mit vollautomatisierten und rechnergesteuerten fördereinrichtungen geeignet für abdichtbaren tragbaren unter druck stehenden behältern.
US5246218A (en) * 1992-09-25 1993-09-21 Intel Corporation Apparatus for securing an automatically loaded wafer cassette on a wafer processing equipment
JP3258748B2 (ja) 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5387264A (en) 1993-04-07 1995-02-07 Nippon Kayaku Kabushiki Kaisha Method for dyeing or coloring organic macromolecular substance by using coumarin compound or coloring material
KR100221983B1 (ko) 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
JPH077218A (ja) * 1993-06-15 1995-01-10 Sony Corp 半導体レーザ
JP3163884B2 (ja) 1994-02-18 2001-05-08 株式会社ダイフク 荷保管設備
JP3543996B2 (ja) * 1994-04-22 2004-07-21 東京エレクトロン株式会社 処理装置
JP3331746B2 (ja) 1994-05-17 2002-10-07 神鋼電機株式会社 搬送システム
KR0167881B1 (ko) 1994-11-28 1999-02-01 김주용 웨이퍼 반송 시스템 및 그 제어방법
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
EP0735573B1 (de) * 1995-03-28 2004-09-08 BROOKS Automation GmbH Be- und Entladestation für Halbleiterbearbeitungsanlagen
JP3319916B2 (ja) 1995-07-04 2002-09-03 株式会社アサカ 記憶媒体自動交換装置
US5964561A (en) 1996-12-11 1999-10-12 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
US5957648A (en) 1996-12-11 1999-09-28 Applied Materials, Inc. Factory automation apparatus and method for handling, moving and storing semiconductor wafer carriers
JP2968742B2 (ja) 1997-01-24 1999-11-02 山形日本電気株式会社 自動保管棚及び自動保管方法
JPH10256346A (ja) * 1997-03-13 1998-09-25 Tokyo Electron Ltd カセット搬出入機構及び半導体製造装置
US6224313B1 (en) 1997-04-01 2001-05-01 Murata Kikai Kabushiki Kaisha Automatic warehouse
US5980183A (en) * 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
US6390754B2 (en) 1997-05-21 2002-05-21 Tokyo Electron Limited Wafer processing apparatus, method of operating the same and wafer detecting system
US6579052B1 (en) 1997-07-11 2003-06-17 Asyst Technologies, Inc. SMIF pod storage, delivery and retrieval system
JPH1159829A (ja) 1997-08-08 1999-03-02 Mitsubishi Electric Corp 半導体ウェハカセット搬送装置、半導体ウェハカセット搬送装置で用いられるストッカ、ならびに半導体ウェハカセット搬送装置で用いられるストッカ入庫作業制御方法、ストッカ出庫作業制御方法、自動搬送車制御方法、およびストッカ在庫照合方法
US6183186B1 (en) 1997-08-29 2001-02-06 Daitron, Inc. Wafer handling system and method
US6027992A (en) * 1997-12-18 2000-02-22 Advanced Micro Devices Semiconductor device having a gallium and nitrogen containing barrier layer and method of manufacturing thereof
JP3656701B2 (ja) * 1998-03-23 2005-06-08 東京エレクトロン株式会社 処理装置
US6078037A (en) 1998-04-16 2000-06-20 Intel Corporation Active pixel CMOS sensor with multiple storage capacitors
US6079927A (en) 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
KR20010043705A (ko) 1998-05-18 2001-05-25 조셉 제이. 스위니 워크 스테이션간에 웨이퍼당 이송을 위한 웨이퍼 버퍼스테이션과 방법
US6142722A (en) * 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US5980182A (en) 1998-06-23 1999-11-09 Duplo Usa Corporation Case-in device of adhesive bookbinder
US6283692B1 (en) * 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6435330B1 (en) 1998-12-18 2002-08-20 Asyai Technologies, Inc. In/out load port transfer mechanism
US6379096B1 (en) * 1999-02-22 2002-04-30 Scp Global Technologies, Inc. Buffer storage system
US6304051B1 (en) * 1999-03-15 2001-10-16 Berkeley Process Control, Inc. Self teaching robotic carrier handling system
US6042324A (en) 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system
JP2000286319A (ja) * 1999-03-31 2000-10-13 Canon Inc 基板搬送方法および半導体製造装置
KR100403663B1 (ko) * 1999-07-14 2003-10-30 동경 엘렉트론 주식회사 피처리체 수용 박스의 개폐 덮개의 개폐 장치 및피처리체의 처리 시스템
US6506009B1 (en) 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette

Also Published As

Publication number Publication date
TW504732B (en) 2002-10-01
DE60100575T2 (de) 2004-06-24
US6955517B2 (en) 2005-10-18
JP2001298069A (ja) 2001-10-26
KR20010090477A (ko) 2001-10-18
US6506009B1 (en) 2003-01-14
DE60100575D1 (de) 2003-09-18
US20070237609A1 (en) 2007-10-11
KR100905565B1 (ko) 2009-07-02
EP1134641A1 (en) 2001-09-19
EP1134641B1 (en) 2003-08-13
US7234908B2 (en) 2007-06-26
US20060029489A1 (en) 2006-02-09
US20030031539A1 (en) 2003-02-13

Similar Documents

Publication Publication Date Title
JP4919539B2 (ja) カセット保管装置並びに半導体処理ステーション及びその操作方法
US6283692B1 (en) Apparatus for storing and moving a cassette
JP4650831B2 (ja) 基板キャリアを支持する場所を変更するための方法および装置
US7033126B2 (en) Method and apparatus for loading a batch of wafers into a wafer boat
USRE43023E1 (en) Dual loading port semiconductor processing equipment
US6902647B2 (en) Method of processing substrates with integrated weighing steps
JP5506979B2 (ja) ロットサイズ減少のためのバッファ付きローダ
US6612797B1 (en) Cassette buffering within a minienvironment
US6942738B1 (en) Automated semiconductor processing system
WO2010135202A2 (en) Substrate container storage system
US20020150449A1 (en) Automated semiconductor processing system
US6030208A (en) Thermal processor
EP1252079B1 (en) Wafer transport system
WO2003036690A2 (en) Identification code reader integrated with substrate carrier robot
JPH10256346A (ja) カセット搬出入機構及び半導体製造装置
KR101058597B1 (ko) 프로세싱 툴에 기판을 공급하는 방법 및 장치
GB2415291A (en) Substrate handling device for a charged particle beam system

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080206

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110125

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110422

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110427

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110524

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110531

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110610

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120110

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120131

R150 Certificate of patent or registration of utility model

Ref document number: 4919539

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150210

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees