KR100221983B1 - 처리장치 - Google Patents

처리장치 Download PDF

Info

Publication number
KR100221983B1
KR100221983B1 KR1019940007724A KR19940007724A KR100221983B1 KR 100221983 B1 KR100221983 B1 KR 100221983B1 KR 1019940007724 A KR1019940007724 A KR 1019940007724A KR 19940007724 A KR19940007724 A KR 19940007724A KR 100221983 B1 KR100221983 B1 KR 100221983B1
Authority
KR
South Korea
Prior art keywords
cassette
chamber
storage container
container
gas
Prior art date
Application number
KR1019940007724A
Other languages
English (en)
Inventor
히로유키 이와이
다모쓰 타니후지
다카노부 아사노
료오이치 오쿠라
Original Assignee
히가시 데쓰로
동경 엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP8633993A external-priority patent/JP3372585B2/ja
Priority claimed from JP33952993A external-priority patent/JP3543987B2/ja
Application filed by 히가시 데쓰로, 동경 엘렉트론주식회사 filed Critical 히가시 데쓰로
Application granted granted Critical
Publication of KR100221983B1 publication Critical patent/KR100221983B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Abstract

피처리체(w)에 처리를 행하는 처리실과, 이것에 연결되어 피처리체를 수용한 유지체를 끼우고 빼내는 로딩실과, 이 로딩실에 대하여, 카세트 내부에 수용되어 있는 피처리체를 반입 및 반출하는 반출입실을 구비하고 있다. 반출입실에 내부가 청정공기나 불활성가스에 의해 충전되어 밀폐가능하도록 되어 있는 카세트 수납용기를 설치하는 카세트 수납용기용 포트가 설치되어 있다. 그리고 이 포트의 아래쪽에, 용기 내의 카세트만을 침입시켜 도입하는 카세트 도입기구가 배치된다. 이것에 의해 외부와의 사이에서 카세트를 반입 및 반출할 때에 이것을 작업영역의 분위기에 노출되지 않도록 하여 끝내므로, 이 영역의 분위기의 청정도를 높게 유지할 필요가 없고, 클린룸의 설치비용 및 운용비를 삭감하는 것이 가능하다. 또한 포트 아래쪽에 청정기체 분출수단을 설치하여 청정기체의 횡류를 형성한다. 이것에 의해 포트 아래쪽의 청정기체가 체류되기 쉬운 부분의 분위기를 순환시키고, 또한 내부로 도입된 피처리체의 표면에 상기 횡류를 쐬여서 부착된 파티클 등을 제거하는 것이 가능하다.

Description

처리장치
제1도는 본 발명에 따른 처리장치의 제1실시예를 나타낸 개략단면도.
제2도는 처리장치의 개략평면도.
제3도는 본 발명에 있어서의 유지체수용실의 단면도.
제4도는 본 발명의 장치의 앞면쪽을 나타낸 사시도.
제5도는 카세트 수납용기용 포트를 나타낸 단면도.
제6도는 카세트 수평이동기구를 나타낸 사시도.
제7a도는 캐리어 트랜스퍼에 설치된 매수 카운터를 나타낸 측면도.
제7b도는 제7a도의 B-B선에 따른 사시도.
제8도는 반출입실내의 청정공기의 흐름을 나타낸 도면.
제9도는 청정기체 분출수단과 도입된 카세트의 관계를 나타낸 측단면도.
제10도는 제9도에 나타낸 부분의 평면도.
제11도는 본 발명에 따른 처리장치의 제2실시예를 나타낸 개략 단면도.
제12도는 제11도에 나타낸 장치의 앞면쪽을 나타낸 사시도.
제13도는 처리장치의 개략평면도.
제14도는 본 발명에 있어서의 유지체수용실의 단면도.
제15도는 카세트 취출스테이지를 나타낸 단면도.
제16도는 카세트 수납용기를 나타낸 단면구성도.
제17도는 본 발명의 처리장치의 다른 실시예를 나타낸 개략단면도.
제18도는 제17도에 나타낸 장치의 부분 수평단면도.
제19도는 본 발명에 따른 처리장치의 제3실시예를 나타낸 종단면도.
제20도는 제19도의 A-A선에 따른 종단면도.
제21도는 제19도의 B-B선에 따른 종단면도.
제22도는 제19도의 C-C선에 따른 종단면도,
제23도는 처리장치 전체의 가스제어시스템을 나타낸 개략도.
제24도는 본 발명의 다른 실시예에 관한 종형 열처리장치를 나타낸 종단면도.
제25도는 본 발명의 또 다른 실시예에 관한 종형 열처리장치의 일부분을 나타낸 종단면도이다.
* 도면의 주요부분에 대한 부호의 설명
1 : 프로세스튜브 2 : 매니포울드
3 : 배기관 4 : 도입관
5 : 히터 6 : 웨이퍼보트
7 : 보트 엘리베이터 7A : 볼나사정치
8 : 로딩실 12 : 이송기구
13 : 반출입실 16 : 유지체수용실
17 : 카세트 도입기구 18 : 청정기체 분출수단
20 : 청정공기 도입구 22 : 청정공기 반출구
25 : 검출수단 25A : 가동검출제
25B : 발광부 25C : 수광부
25D : 광센서 26 : 보호커버
27 : 청정공기 도입관 28 : 반송기구
30 : 카세트 수납용기 31 : 용기본체
34 : 록핀 35 : 회전링기구
37 : 끼워넣음구멍 39 : 회전핀
40 : 볼나사 41 : 수직이동아암
42 : 수평이동아암 45 : 용기 호울더
50 : 웨이퍼 트랜스퍼 52A : 발광소자
52B : 수광소자 53 : 광빔센서(매수 카운터)
54 : 구획벽 56 : 공기도입구
58 : 공기반출구 60 : 배기덕트
61 : 측벽 64 : 블레이드
66 : 통로 67 : 공기도입구
68 : 분산로 101 : 프로세스튜브
102 : 매니포울드 103 : 배기관
104 : 가스도입관 106 : 웨이퍼보트
107 : 이송기구 107A : 보트 엘리베이터
107B : 볼나사장치 108 : 로딩실
112 : 반출입실 113 : 수납용기용 포트
114 : 카세트 수납용기 115 : 도입수단
120 : 프론트 오토도어 121 : 리어 오토도어
122 ; 진공배관 123 : N2가스도입관
124 : N2가스배출관 127 : 검출수단
127A : 가동검출제 127B : 발광부
127C : 수광부 127D : 광센서
131 : 반송기구 131A : 구동부
131B : 다관절아암 132 : 오토셔터
132A : 플랜지부 136 : 록핀
137 : 회전링기구 140 : 용기도입구
141 : 아암 142 : 승강대
144 : 용기 트랜스터 145 : 엘리베이터
147 : 굴곡아암 148 : 척
149 : 안내레일 150 : 축받이
151 : 선반부 152 : 웨이퍼 트랜스퍼
153 : 상자체 160 : 용기 호울더
162 : 옮겨싣기용 엘리베이터 163 : 카세트 취출기구
172 : 청정공기 도입구 201 : 처리장치본체
201a : 하우징패널 202 : 클린룸
204 : 유지관리룸 206 : 처리실
208 : 로딩실 209 : 수납용기
210 : 패스박스 212 : 박스본체
214 : 덮개 224 : 가스도입관
225 : 배기관 226 : 밸브
227 : 레귤레이터 229 : 오토댐퍼
233 : 덮개제거기구 235 : 에어실린더
236 : 클램프아암 237 : 밸브조작부
241 : 프로세스용기 241a : 로입구
242 : 히터 243 : 보호커버
244 : 매니포울드 245 : 배기관
245a : 오토댐퍼 246 : 가스도입관
247 : 자동전환밸브 252 : 열배기관
254 : 분기관 254a : 오토댐퍼
256 : 오토셔터 261 : 웨이퍼보트
262 : 보트 엘리베이터 263 : 옮겨싣는기구
271 : 가스도입관 272 : 배기관
272a : 오토댐퍼 273 : 유량조정밸브
281 : 리턴경로 282 : 송풍팬
283 : 가스정화기 284 : 가스냉각기
285 : ULPA필터 286 : 정류판
290 : 가스샤워기구 292 : 가스도입관
294 : 특수노출 313 : 산소농도계
314 : 압력센서 315 : 콘트롤러
320 : 카세트 스톡스테이지 320a : 보관선반
322 : 카세트 트랜스퍼 324 : 옮겨싣기용 스테이지
330 : 피처리물 반입출구 331 : 박스유지기구
333 : 에어실린더 335 : 지지아암
342 : 에어실린더 344 : 지지아암
346 : 도어 348 : 전자밸브
350 : 노즐
[발명의 목적]
[발명이 속하는 기술분야 및 그 분야의 종래기술]
본 발명은, 예를들면 반도체웨이퍼 등의 피처리체에 소정의 처리를 행하는 처리장치에 관한 것이다.
일반적으로, 반도체의 제조공정에 있어서는, 피처리체인 반도체웨이퍼(이하 〃웨이퍼〃라 함)로의 산화막의 형성이나 열 CVD법에 의한 박막형성, 혹은 열확산법에 의한 불순물 농도영역형성 등을 행하는 각종 처리장치가 사용되고 있다.
이들 각종 처리장치에 적용되는 것으로서, 종래의 횡형(橫型)인 것에서 최근에는 종형(縱型)의 열처리장치가 많이 채용되고 있다. 종래의 이러한 종류의 종형 열처리장치에서는, 다수매의 웨이퍼를 수용한 유지체인 웨이퍼보트를 대략 원통형의 종형 처리실(프로세스튜브) 내부로 삽입하여, 프로세스튜브 내부를 소정의 처리가스하에서 가열함으로써, 웨이퍼의 각종 처리를 행하는 것이 알려져 있다.
종래의 이와 같은 종류의 열처리장치는, 예를들어 석영제의 프로세스튜브에 설치된 배기관 및 도입관에 의해, 프로세스튜브 내부의 가스배기 및 도입이 행해지도록 되어 있다. 또한 이 프로세스튜브의 바깥쪽에는, 프로세스튜브를 둘러싸는 히터가 설치되어, 프로세스튜브 내부를 소망의 온도로 가열 제어 할 수 있도록 되어 있다.
다수매의 웨이퍼를 수납한 웨이퍼보트는, 이송기구를 구성하는 보트 엘리베이터에 의해 로딩실로부터 프로세스튜브로 삽입된다. 이 때 웨이퍼보트의 플랜지가 매니포울드에 맞닿아 프로세스튜브 내부가 밀폐되도록 구성되어 있다.
또한, 로딩실에 인접하여 설치되는 옮겨싣기실 내부에, 캐리어 반송수단에 의해 반송된 웨이퍼캐리어 내의 웨이퍼를 웨이퍼보트로 반송 또는 반출시키기 위한 반출입수단이 설치되어 있다.
상기와 같이 구성된 열처리장치를 이용하여 웨이퍼에 처리를 행하는 경우에는, 먼저 예를들면 질소(N2) 등의 불활성가스분위기(비산소 분위기)하에서 웨이퍼를 웨이퍼보트에 수납하고, 이 웨이퍼보트를 보트 엘리베이터에 의해 상승시켜 프로세스튜브 내부로 삽입한다. 그 후 배기관을 이용하여 프로세스튜브 내부의 진공도에 이르면, 가스도입관에 의해 처리가스를 도입하여 소망의 처리를 행한다. 또한 상기 종래 장치는 로딩실 내부가 밀폐되도록 진공흡인이 가능한 소위 로딩실 구조로 되어 있으나, 다른 장치예로서 상기 로딩실 내부가 대략 대기압의 청정공기 분위기로 항상 유지되어 있는 구조인 것도 있다.
그런데, 이러한 종류의 종형 열처리장치에 있어서는, 일반적인 웨이퍼캐리어를 수용하는 옮겨싣기실 내부로의 웨이퍼캐리어의 반입 및 반출은, 여기에 설치된 도어를 개폐하여 행하고, 이 웨이퍼캐리어는 AGV(자동반송차)나 인력에 의해 내부의 웨이퍼를 클린에어로 쐬인 상태에서 반송되어 진공실 내부에 설치되거나, 또는 처리가 끝난 웨이퍼도 클린에어에 쐬인 상태에서 반출된다.
따라서, 옮겨싣기실의 도어 바깥쪽, 즉 오퍼레이터 등이 작업을 행하는 클린룸 내부의 전체는, 웨이퍼로의 파티클의 부착을 방지하기 위해 옮겨싣기실이나 로딩실 내부와 동등한 혹은 그것에 가까운 높은 청정도, 예를들면 1등급으로 유지되어야 한다.
클린룸을 제작하는 경우에는 그러한 청정도가 높으면 높을수록, 단위면적당의 제작비용이 높아지고, 따라서 상술한 바와 같이 웨이퍼캐리어를 움직이거나, 오퍼레이터가 작업하거나 하는 공간전체를 높은 청정도를 목표로 하여 제작하면 대폭적으로 비용이 상승한다는 문제가 있었다.
또한, 용적이 큰 클린룸 전체를 높은 청정도로 유지해야만 하므로, 운용비도 상승한다고 하는 문제가 있었다.
본 발명은, 상기와 같은 문제점을 감안하여, 이것을 효과적으로 해결하기 위해서 창안된 것이다. 본 발명의 목적은 예를들어 SMIF(Standard Mechanical InterFace) 포트와 같은 카세트 수납용기를 설치가능하게 함으로써, 작업공간용 클림룸의 청정도를 종래보다 높게 할 수 있는 처리장치를 제공함에 있다.
본 발명의 제1특징은, 유지체에 수납된 피처리제에 소정의 처리를 행하는 처리실과, 이 처리실에 대하여 상기 피처리체가 수납된 유지체를 끼우고 빼내는 이송기구를 가지는 로딩실과, 이 로딩실에 대하여, 카세트 내부에 수납된 피처리체를 반입 및 반출하는 반출입실과, 이 반출입실과 외부를 연이어 통하게 하고, 외부로부터 반송됨과 동시에 상기 카세트를 외기 분위기와 밀폐격리하여 수납하는 카세트 수납용기를 얹어놓는 카세트 수납용기용 포트와, 상기 반출입실 내부에 설치되고, 상기 카세트 수납용기용 포트에 얹어놓인 카세트 수납용기 내부로부터 상기 카세트를 내부로 도입하는 카세트 도입기구와, 상기 반출입실 내부에 설치되고, 카세트 도입기구에 의해 도입된 카세트에 대하여 청정기체를 취출하는 청정기체 분출수단이 구비된 것을 특징으로 하는 처리장치이다.
본 발명의 제2특징은, 유지체에 수납된 피처리체에 소정의 처리를 행하는 처리실과, 이 처리실에 대하여 상기 피처리체가 수납된 유지체를 끼우고 빼내는 이송기구를 가지는 로딩실과, 이 로딩실에 대하여, 카세트 내부에 수납된 피처리체를 반입 및 반출하는 반출입실과, 이 반출입실과 외부를 연이어 통하게 하고, 외부로부터 반송됨과 동시에 상기 카세트를 외기 분위기와 밀폐격리하여 수납하는 카세트 수납용기를 얹어놓는 카세트 수납용기용 포트와, 상기 반출입실 내부에 설치되고, 상기 카세트 수납용기용 포트에 얹어놓인 카세트 수납용기를 내부로 도입하는 도입수단과, 상기 반출입실과 상기 로딩실의 사이에 설치되고, 상기 카세트 수납용기 내부에 카세트를 취출하는 카세트 취출 스테이지와, 상기 반출 입실내부에 설치되고, 도입 수단에 의해 도입된 카세트 수납용기를 카세트 취출 스테이지까지 옮겨싣는 용기옮겨싣기수단이 구비된 것을 특징으로 하는 처리장치이다.
본 발명의 제3특징은, 유지체에 수납된 피처리체에 소정의 처리를 행하는 처리실과, 이 처리실에 대하여 상기 피처리체가 수납된 유지체를 상기 처리실측으로 끼우고 빼내는 로딩실과, 외부로부터 반송되고, 본체와 덮개를 가짐과 동시에 상기 피처리체를 밀폐상태로 수납하는 수납용기를 일시적으로 수납하는 패스박스와, 이 패스박스 내부를 불활성가스로 치환하는 가스급배수단과, 상기 패스박스 내부에 설치되어 상기 수납용기의 덮개를 본체로부터 꺼내는 덮개제거기구와, 상기 수납용기 내부의 피처리체를 상기 로딩실 측으로 이송하는 이송수단을 구비하는 것을 특징으로 하는 처리장치이다.
본 발명의 제1특징에 의하면, 반출입실에 대하여 피처리체를 반출입하는 때에는, 내부가 외기 분위기와 밀폐격리된 카세트 수납용기를 반출입실의 카세트 수납용기용 포트에 설정한다. 이 포트에 설정된 카세트 수납용기와 반출입실의 사이에서는 외부의 작업영역의 분위기로부터 구획된 상태로 카세트 도입기구에 의해 카세트마다 피처리체의 주고받음이 행해진다. 그리고 반출입실 내부로 카세트가 도입되면, 측부에 설치된 청정기체 분출수단으로부터 카세트 내부로 피처리체에 대하여 청정기체의 횡류가 생기고, 부착되어 있던 파티클이나 포트 아래쪽에 체류하고 있던 분위기가 배제되는 것이 된다. 따라서 카세트를 장치사이에서 반송하거나, 오퍼레이터가 작업하는 작업공간의 청정도를 그 정도로 높게 설정할 필요가 없고, 클린룸의 건설코스트를 삭감하는 것이 가능하다.
본 발명의 제2특징에 의하면, 반출입실에 대하여 피처리체를 반출입하는 때에는, 내부가 외기 분위기와 밀폐격리된 카세트 수납용기를 반출입실의 카세트 수납용기용 포트에 얹어놓는다. 포트에 얹어놓인 수납용기는 도입수단에 의해 수납용기체로 장치 내로 도입되고, 다음에 용기 옮겨싣기 수단에 의해 카세트 취출스테이지로 옮겨싣고, 여기에서 카세트 수납용기 내부로부터 카세트 내로 수용된 상태로 피처리체가 취출된다. 카세트 내부의 피처리체는, 로딩실을 경유하여 처리실로 반송되어 처리된다. 피처리체의 처리가 완료하면, 상술한 것과 반대의 순서로 처리가 끝난 피처리체가 카세트 수납용기 내부로 수용되고, 이 용기는 밀폐상태에서 카세트 수납용기용 포트로부터 장치의 바깥으로 반송된다. 따라서 피처리체를 빼낸 상태에서, 오퍼레이터가 작업하는 작업공간중에 노출되지 않기 때문에 작업공간의 청정도를 그 만큼 높게 설정할 필요도 없고, 또한 장치 바깥으로 빈 카세트 수납용기용 포트를 대기시키는 영역을 확보할 필요도 없다.
본 발명의 제3특징에 의하면, 수납용기를 외부로부터 패스박스내로 넣으면, 일시적으로 패스박스 내부로 대기가 들어가지만, 그 패스박스 내부에 가스급배수단에 의해 불활성가스를 공급하여, 대기 및 수납용기 바깥면에 부착되는 불순물을 추출한다. 또한 그 패스박스는 용량이 작게 할 수 있기 때문에, 가스급배수단으로부터 비교적 소량의 가스를 공급하는 것만으로 대기로부터 높은 순도의 불활성가스분위기로 간단하게 치환할 수 있다. 이와 같이 하여 패스박스 내부를 불활성가스분위기로 치환한 상태에서, 덮개제거기구에 의해 수납용기의 덮개를 개방시킴과 동시에, 수납용기내의 피처리물을 불활성가스분위기로 유지된 피처리장치 본체 내의 처리실로 이송수단에 의해 이송하여 필요한 처리작업을 행한다.
이와 같이 하여, 피처리물을 대기에 노출시킴이 없이 불활성가스 분위기 중에서 처리장치에 대하여 반입하거나 반출할 수 있으며, 대기(O2)나 가스 상태 불순물이나 입장상태의 불순물(파티클)의 피처리물로의 부착 및 처리장치로의 침입을 간단하면서도 확실하게 방지할 수 있도록 되어 있다.
[제1실시예]
이하, 본 발명의 제1실시예를 제1도 내지 제10도에 의거하여 상세하게 설명한다.
여기에서는, 본 발명의 처리장치를 반도체웨이퍼의 열처리장치에 적용한 경우에 대하여 설명한다.
제1도는 본 발명에 따른 처리장치의 개략단면도, 제2도는 제1도의 개략평면도, 제3도는 유지체수용실의 단면도, 제4도는 이 장치의 앞면쪽을 나타낸 사시도, 제5도는 카세트 수납용기용 포트를 나타낸 단면도, 제6도는 카세트 수평이동기구를 나타낸 시시도, 제7도는 캐리어 트랜스퍼에 설치된 매수 카운터를 나타낸 도면, 제8도는 반출입실내의 청정공기의 흐름을 나타낸 도면, 제9도는 청정기체 분출수단과 도입된 카세트와의 관계를 나타낸 측단면도, 제10도는 제9도에 나타낸 부분의 평면도이다.
본 발명의 처리장치는, 피처리체인 웨이퍼(W)에 소정의 처리를 행하는 처리실인 프로세스튜브(1)와, 이 프로세스튜브(1)에 대하여 다수매 예를들면 100매의 웨이퍼(W)를 수납한 유지체로서의 웨이퍼보트(6)을 삽입 및 이탈시키는 이송기구(12)를 구비한 로딩실(8)과, 이 로딩실(8)에 대하여 웨이퍼(W)를 반입 및 반출하는 반출입실(13)과, 이 반출입실(13)에 형성된 카세트 수납용기용 포트(14)와, 로딩실(8)과 반출입실(13)의 사이에 배치되는 유지체로서의 웨이퍼보트(6)를 수용하는 유지체수용실(16)로 구성되어 있다. 또한 상기 반출입실(13) 내부에는 카세트(C)를 도입하는 카세트 도입기구(17)와, 도입된 카세트에 청정기체의 횡류(橫流)를 부여하는 청정기체 분출수단(18)이 설치되어, 본 발명의 주요부가 구성되어 있다.
제2도에 나타낸 바와 같이, 상기 로딩실(8) 내부와 유지체수용실(16) 내부는 연이어 통하여 일체화 되어 있다. 이들 양 실(8,16)을 나누는 한쪽 벽에는 각각 필터(19,19)가 장착된 청정공기 도입구(20,20)가 설치됨과 동시에, 이들과 대향하는 다른쪽 벽에는 마찬가지로 필터(21,21)가 부착된 청정공기 반출구(22,22)가 설치되어 있다. 양 실(8,16)의 내부에는 전체에 걸쳐서 대략 상압으로 유지되고 청정도가 높은, 예를들면 1등급 정도의 청정공기의 횡류를 형성하도록 되어 있다. 청정공기 반출구(22,22)에서 배출된 청정공기의 일부는 청정공기 도입구(20,20)측으로 돌아와서 실 내부를 순환하도록 되어 있다.
또한, 상기 유지체수용실(16)의 하부에는, 제3도에 나타낸 바와 같이, 예를 들면 벨로우즈 시일(23)을 개재하여 얹어놓는대(24)가 상하 운동가능하도록 배치되고, 이 얹어놓는대(24)의 바깥쪽으로 웨이퍼보트(6)의 유무를 확인하기 위한 검출수단(25)이 설치되어 있다. 이 검출수단(25)은 얹어놓는대(24)에 연결된 가동검출제(25A)와, 이 가동검출제(25A)의 상하 이동부에 대하여 대치되는 발광부(25B) 및 수광부(25C)로 이루어진 광센서(25D)로 구성되어 있다. 따라서 유지체수용실(16) 내부에 웨이퍼보트(6)가 수용되어 얹어놓는대(24) 상에 웨이퍼보트(6)가 얹어지면, 그 중량에 의해 벨로우즈 시일(23)의 탄성력에 대항하여 얹어놓는대(24)가 하강한다. 가동검출제(25A)도 하강하여 발광부(25B)로부터 수광부(25C)로의 광을 차단시킴으로써, 웨이퍼보트(6)의 유무를 판단하는 것이 가능하다. 또한 웨이퍼보트(6)의 유뮤의 검출은 반드시 이러한 검출수단(25)으로 행할 필요는 없으며, 예를들어 유지체수용실(16)의 천정이나 바닥부 혹은 역쪽부에 시일기구를 개재하여 매설되는 센서에 의해 웨이퍼보트(6)의 유무를 판단하는 등 임의의 검출수단을 이용하는 것이 가능하다. 또 웨이퍼보트(6)를 얹어놓는대(24)에서 들어올리면, 벨로우즈 시일(23)의 탄성력에 의해 얹어놓는대(24)가 상승한다.
제1도에 나타난 바와 같이, 상기 유지체수용실(16)의 반출입실(13) 측에는, 웨이퍼 트랜스퍼(50)가 옮겨싣기용 엘리베이터(51)에 의해 승강가능하도록 설치되어 있다. 이 웨이퍼 트랜스퍼(50)는 승강하면서 반출입실(13) 내부의 후술하는 트랜스퍼 스테이지(48) 상의 카세트(C) 내부의 웨이퍼(W)를 1매씩 취출하여, 유지체수용실(16) 내부에 수용된 웨이퍼보트(6)에 수납유지하거나, 그 반대로 웨이퍼보트(6)로부터 처리가 끝난 웨이퍼(W)를 트랜스퍼 스테이지(48) 상의 카세트(C) 내부로 되돌리는 움직임을 행하도록 구성되어 있다.
상기 처리실을 구성하는 프로세스튜브(1)는, 단면이 역U자형상이고 세로형의 대략 원통형상인 석영제의 용기로 형성되어 있다. 이 프로세스튜브(1)의 바깥둘레에는 히터(5)가 설치되고, 또 그 주위에는 냉각쟈켓이나 단열재 등이 조립된 보호커버(26)가 피복되어 있다. 또한 프로세스튜브(1)의 개구 하단에는 매니포울드(2)가 접속되어 있다. 이 매니 포울드(2)는 상하 플랜지가 부착된 원통형상으로서, 제1도에 나타난 바와 같이 매니포울드(2)의 둘레벽부에, 프로세스튜브(1) 내부로 소정의 처리용 가스를 도입하는 도입관(4)과, 처리후의 가스를 배기하는 배기관(3)이 각각 접속되어 있다. 이 경우 도입관(4)은 도시하지 않은 가스절환밸브를 통하여 소정의 처리가스 공급원과 청정공급원에 접속되어, 교대로 처리가스와 청정공기를 프로세스튜브(1) 내부로 도입할 수 있도록 되어 있다.
로딩실(8)은 예를들어 스텐레스제 패널을 전체 둘레에 용접하거나, 혹은 0-링에 의한 밀폐구조로 되어 있다. 로딩실(8)의 상부 및 하부의 적절한 위치에는 도시하지 않은, 예컨대 청정공기 공급원에 접속된 청정공기 도입관(27)이 접속되어 있다. 이 로딩실(8) 내부에 배치된 이송기구(12)는 상기 보트 엘리베이터(7)를 승강이동시키는 볼나사장치(7A)로 구성되어 있다. 이 경우 로딩실(8) 내부는 진공상태로 할 필요가 없기 때문에, 로딩실(8)을 구성하는 벽면 패널에 높은 강성을 부여할 필요는 없다. 이송가구(12)의 볼나사장치(7A)는 자립식으로 하지 않고, 로딩실(8)의 벽에 고정하는 구조이어도 좋다. 또한 로딩실(8) 내부의 유지체수용실 측에는 이송가구(12)의 보트 엘리베이터(7)와 유지체수용실(16)의 사이에서 웨이퍼보트(6)를 반송시키는 반송기구(28)가 배치되어 있다. 이 반송기구(28)는 로딩실(8)의 외부에 설치되는 수평회전(선회) 및 승강용의 구동부(28A)와, 로딩실(8) 내부에 위치하는 구동부(28A)의 전달축에 연결되어, 웨이퍼보트(6)를 유지하는 다관절아암(28B)으로 구성되어 있다. 또한 로딩실(8)의 상부에 설치된 로(瀘) 입구부에는 로딩실(8)과 프로세스튜브(1)의 개구부를 개폐하는 오토셔터(29)가 설치되어 있다.
한편, 상기 반출입실(13)은 청정도가 높은, 예컨대 1등급의 청정공기로서 대기분위기하로 설치되어 있다. 이 반출입실(13)에는 카세트 수납용기(30)를 설치하기 위한 카세트 수납용기용 포트(14)가 설치되어 있다. 카세트 수납용기(30)에는 복수매 예를들면 25매의 웨이퍼(W)를 수납하는 카세트(C)가 내부에 장착되어 있다. 또 반출입실(13)에는 카세트 수납용기(30) 내부의 카세트(C)를 내부로 도입하는 카세트 도입기구(17)와, 도입된 카세트(C)에 대하여 청정기체의 횡류를 부여하는 청정기체 분출수단(18)이 설치되어 있다. 도시 예에서는 카세트 수납용기용 포트(14)가 좌우에 2대 배설되어 있다.
여기에서, 카세트 수납용기(30)에 대하여 설명하면, 제5도에 나타낸 바와 같이, 이 용기(30)는 일본국 특개평 1-222429호 공보 및 미합중국 특허 제4534389호 공보에 개시되어 있는 바와 같이, 하나의 카세트(C)를 수용할 수 있는 정도의 크기이면서 하부가 개구된 용기본체(31)와, 이 개구부를 밀폐 가능하도록 폐쇄하는 용기바닥부(32)에 의해 구성되어 있다. 용기(30) 내부에는 카세트(C)를 수용한 상태에서 대기압에 대하여 양압으로 된 높은 청정도의 청정공기 혹은 불활성가스가 충전되어 있다. 이 때문에 상기 용기바닥부(32)에는 청정공기나 불활성가스를 내부로 도입하는 밸브가 부착된 가스도입로(도시않됨)가 형성되어 있다.
용기바닥부(32)는, 용기본체(31) 하부의 플랜지부(31A)에 0-링 등의 시일부재(33)를 개재하여 밀폐가능하도록 부착되어 있다. 이 용기바닥부(32)의 둘레 가장자리부의 적절한 부위에는, 바깥쪽으로 출몰가능하게 된 록핀(34)이 마련되어 있으며, 이 록핀(34)을 용기바닥부(32)의 중앙에 설치된 회전링기구(35)에 연결하여 이것을 회전시킴으로써 용기본체(31)와의 접합 및 이탈을 행할 수 있도록 되어 있다. 이 카세트 수납용기(30)로서는 예컨대 SMIF-POD(상품명)를 이용할 수 있다.
한편, 제1도 및 제4도에 나타낸 바와 같이, 상기 카세트 수납용기용 포트(14)는 반출입실(13)의 앞면 벽이 내부로 오목하게 들어가도록 형성되어 있다. 제5도에 나타낸 바와 같이, 용기본체(31)가 실제로 얹어지는 보트 얹어놓는대(36)에는, 용기본체(31)의 플랜지부(31A)의 내경보다 크고 또한 그 외경보다 작은 카세트(C)가 끼워져 통하도록 카세트 끼워넣음구멍(37)이 형성되고, 이 끼워넣음구멍(37)에는 둘레부를 그 바깥쪽으로 하향 경사시켜 테이퍼형상으로 형성함으로써 보트 얹어놓는대(36) 보다 아래쪽방향으로 개폐가능하게 한 용기바닥부 얹어놓는대(38)가 설치된다. 이 용기바닥부 얹어놓는대(38)는 상기 카세트 도입기구(17)의 일부를 구성하는 것으로서, 이 얹어놓는대(38)의 중앙부에는 상기 용기바닥부(32)의 회전링기구(35)에 걸어맞춤하는 회전핀(39)이 형성되어 있으며, 이것을 회전시킴으로써 상기 회전링기구(35)를 작동시켜 록핀(34)을 출몰시킬 수 있도록 되어 있다.
또한, 이 용기바닥부 얹어놓는대(38)는 제1도에 나타낸 바와 같이 볼나사(40)에 의해 수직방향(상하방향)으로 이동가능하게 된 수직이동아암(41)의 앞끝단에 부착되어 있으며, 용기본체(31)의 위쪽을 남기고 용기바닥부(32)와 이 윗면에 얹어놓여 있는 카세트(C) 만을 침입시켜 반출입실(13) 내부로 도입하도록 되어 있다. 이와 같이 하여 카세트 도입기구(17)의 전체가 구성되어 있다.
또한, 상기 볼나사(40)에는 상기 용기바닥부 얹어놓는대(38)의 아래쪽에 위치하여 수평방향으로 굴곡가능하게 된 다관절아암으로 이루어진 수평이동아암(42)이 설치되어 있으며, 그 앞끝단은 항상 수평상태가 되도록 헐거운 끼워맞춤 상태에서 앞이 흔들리도록 이루어진 아암보조부재(42A)가 설치되어 있고, 그 양끝단에는 개폐가능하게 된 돌기부(43)가 형성되어 있다. 수평이동아암(42)을 굴곡시킨 상태에서 상기 돌기부(43)를 개폐 작동시킴으로써 상기 카세트(C)의 상부 측벽을 파지하도록 되어 있다.
또한, 상기 카세트 수납용기의 용기본체(31)의 상부에는 파지부(44)가 형성되어 있으며, 예를들어 오퍼레이터가 이 파지부(44)를 파지함으로써 이 카세트 수납용기 전체를 용이하게 들고 움직일 수 있게 되어 있다.
또한, 카세트 끼워넣음구멍(37) 주변부의 보트 얹어놓는대(36)에는 세우고 쓰러지는 것이 가능하게 되어 있는 고리형태의 용기호울더(45)가 여러부위에 설치되어 있으며, 이것을 자동적으로 세우고 쓰러뜨림으로써 용기의 플랜지부(31A)의 록·언록을 행할 수 있도록 되어 있다.
또한, 제1도에 나타낸 바와 같이, 반출입실(13) 내부에는, 카세트 수납용기용 포트(14)의 바로 뒤쪽 위치에 캐리어 트랜스퍼(46)가 엘리베이터(47)를 통하여 승강이 가능하도록 설치되어 있다. 이 캐리어 트랜스퍼(46)의 뒤쪽에 트랜스퍼 스테이지(48)가 설치됨과 동시에, 이 트랜스퍼 스테이지(48)의 상부 측방에 선반방향으로 된 캐리어 스톡스테이지(49)가 설치되어 있다. 이 캐리어 스톡스테이지(49)는 상기 카세트 수납용기용 포트(14)로부터 캐리어 트랜스퍼(46)에 의해 반송되어 오는 카세트(C)를 각각 가로로 향한 채로 2열 4단으로 보관할 수 있는 복수의 선반으로 형성되어 있다. 또한 상기 스테이지(49)에는 웨이퍼가 취출되어 비어있는 카세트(C)도 보관가능하다.
또한, 제7a도 및 제7b도에 나타낸 바와 같이, 각 캐리어 트랜스퍼(46)의 앞끝단에는, 대략 동일 수평면상에 배열된 발광소자(52A)와 수광소자(52B)로 이루어진 반사형의 광빔센서(매수 카운터)(53)가 설치되어 있다. 캐리어 트랜스퍼(46)의 상승 혹은 하강시에, 웨이퍼의 둘레부로부터의 반사빔을 검출함으로써, 그 카세트 내의 웨이퍼의 매수정보와 위치정보를 검출하고, 이것을 캐리어 트랜스퍼(46)에서 옮겨실을 때에 데이터로서 반영하도록 되어 있다. 또한 제7b도는 제7a도중의 B-B선 화살표에서 본 도면이다.
이와 같이 형성된 반출입실(13)과, 로딩실(8), 유지체수용실(16) 및 프로세스튜브(1) 측은, 트랜스퍼 스테이지(48)의 부분을 제외하고 구획벽(54)에 의해 구획되어 있다. 그리고 이 구획벽(54)과 상기 캐리어 스톡스테이지(49)의 사이에는, 공기도입 측HEPA필터(55)가 배치되어 있으며, 이 상부에 형성된 공기도입구(56)로부터 청정도가 높은, 예컨대 1등급의 청정공기를 반출입실(13) 내부로 도입하도록 되어 있다. 여기서 필터(55) 내부로 도입된 청정공기는 필터의 측면에서 수평방향으로 배출되도록 구성되어 있다(제8도 참조).
상기 공기도입측 HEPA필터(55)의 수평방향 대향면에서, 제1도에서와 같이 카세트 수납용기용 포트(14)의 상측에는 취출구(57A)가 아래쪽으로 향한 내부 HEPA필터(57)가 배치되어 있으며, 상기 공기도입구(56) 측의 필터(55)로부터의 청정공기가 아랫방향으로 향한 순환류 내지 다운플로우를 형성하도록 되어 있다.
이 취출구(57A)는 상기 캐리어 트랜스퍼(46)와 간섭하지 않는 범위에서 가능한 만큼 수평방향으로 폭넓게 형성하는 것이 바람직하다.
또한, 제8도에 나타낸 바와 같이 반출입실(13)의 바닥부 측벽에는, 순환 내지 다운플로우된 청정공기를 도입하는 공기반출구(58)가 설치되어 있으며, 이 반출구(58)의 내부에는 공기를 배출하는 송풍팬(59)이 장착되어 있다. 또한 이 공기반출구(58)에는 반출입실(13)의 모서리부에, 그 높이방향을 따라 형성된 배기덕트(60)가 연결되어, 반출입실(13) 내부의 분위기를 시스템 밖으로 배출하도록 되어 있다. 또한 여기서 배출된 청정공기는 상술한 바와 같이 일괄 시스템 밖으로 배출되도록 하여도 좋으며, 일부의 청정공기를 다시 반출입실(13) 내부로 되돌려 순환시키도록 하여도 좋다. 제8도는 반출입실의 환기계통을 나타낸 개략도이다.
또한, 단차형상으로 형성된 카세트 수납용기용 포트(14)의 아래쪽을 구획하는 측벽(61)에는, 제1도, 제9도 및 제10도에도 나타낸 바와 같이 청정기체 분출수단(18)의 일부를 구성하는 횡류분출헤더(62)가 설치되어 있다. 그 분출구(63)는 상기 포트(14)의 아래쪽, 즉 카세트(C)가 포트로부터 침입하여 내부로 도입되었을때에 위치하는 부분을 따라서 개구되어 있다. 그리고 이 분출구(63)는 도입된 카세트(C)로 향해 수평방향으로 설정된 다수의 플레이트(64)가 설치되어 있으며, 상기 포트(14)의 아래쪽, 즉 도입된 카세트(C)에 대하여 청정공기의 횡류(사이드플로우)(65)를 부여하도록 되어 있다. 또한 상기 플레이트(64)는 반출입실 내부로 향하여 수평방향이나 아래쪽으로 경사지게 설치하여도 좋다.
또한, 이 횡류분출헤더(62)의 크기는 포트(14)의 아래쪽을 구획하는 측벽(61)의 거의 전면에 걸쳐 있어, 포트 아래쪽의 분위기의 체류를 저지하도록 되어 있다.
여기에서, 공급되는 청정공기의 공급수단으로서, 상기 횡류분출헤더(62)의 상부와 상기 내부 HEPA필터(57)의 취출구(57A)를 연결하는 청정공기용 통로(66)가 설치되어 있다. 이 통로(66)의 취출구(57A) 옆끝단부에는 필요량의 청정공기를 도입하기 위하여 로트형태로 도입구가 확대된 로트형상 공기도입구(67)가 부착되어 있다.
상기 횡류분출헤더(62) 내부의 상부에는, 청정공기용 통로(66)에서 공급된 청정공기를 헤더의 폭방향으로 가능한 한 균등하게 분배하기 위한 분산로(68)가 형성되어 있다. 또한 이 분산로(68)로서는 다수의 통기구멍을 형성한 파이프 부재를 설치하도록 하여도 좋다. 또한 청정공기의 공급방법으로서는 상기 내부 HEPA필터(57)로부터의 취출공기를 이용하는 것이 아니고, 상기 횡류분출헤더(62)에 이 장치의 근방에 부설되어 있는 청정공기 공급관(도시않됨)으로부터 직접 배관 등을 형성하도록 하여도 좋고, 그 공급방식에는 한정되지 않는다. 또한 청정도를 높게 유지하기 위하여, 이 횡류분출헤더(62) 내부에 HEPA필터를 수용하도록 하여도 좋다.
다음에, 상술한 바와 같이 구성된 처리장치의 동작상태에 대하여 설명한다.
먼저, 이미 반출입실(13) 내부에 수용된 웨이퍼를 로딩실(8)을 통하여 프로세스튜브(1)의 사이에서 반송하는 경우에 대하여 설명한다.
우선, 유지체수용실(16)에 웨이퍼보트(6)을 수용한 상태에서, 웨이퍼 트랜스퍼(50)에 의해 트랜스퍼 스테이지(48) 상의 카세트(C) 내부의 웨이퍼(W)를 유지체수용실(16) 내부의 웨이퍼보트(6)에 수납하여, 소정 매수의 웨이퍼(W)를 웨이퍼보트(6)에 수납한다.
다음에, 반송기구(28)를 구동시켜 유지체수용실(16) 내부의 웨이퍼(W)가 수납된 웨이퍼보트(6)를 보트 엘리베이터(7) 상에 얹어놓아 유지시킨다.
이어서, 보트 엘리베이터(7)가 상승하여, 웨이퍼보트(6)를 프로세스튜브(1) 내부로 삽입시키며, 웨이퍼보트(6)의 플랜지가 매니포울드(2)의 플랜지(2A)에 맞닿아 프로세스튜브(1) 내부가 밀폐된다. 그리고 배기관(3)을 이용하여 프로세스튜브(1) 내부의 분위기 가스를 배출하고, 프로세스튜브(1) 내부가 진공도에 도달한 시점에서, 가스도입관(4)에 의해 처리가스르 도입하여 소망의 처리를 행한다. 처리가 종료한 후에 배기관(3)을 이용하여 프로세스튜브(1) 내부의 처리가스를 배출하고, 프로세스튜브(1) 내부가 소정의 진공도에 도달한 시점에서, 가스 도입관(4)에 의해 청정기체를 도입한다. 그 후 청정기체의 압력이 로딩실(8)의 청정공기의 압력, 즉 대기압과 같이 되면 웨이퍼보트(6)를 하강시켜서, 상기 반입순서와 반대의 순서로 처리가 끝난 웨이퍼(W)를 취출한다.
여기서, 로딩실(8) 내부 및 유지체수용실(16) 내부에는, 필터(19,19)를 통하여 항상 청정도가 높은, 예를들면 1등급 정도의 청정공기가 도입되고, 청정공기의 사이드플로우 혹은일부가 순환되어 서큘레이션이 행해져 거의 대기압으로 유지되어 있다. 이 경우 로딩실(8) 및 유지체수용실(16)의 각 실내부의 압력을 반출입실(13) 내부의 압력보다 약간 높게 하여 양압상태로 하고, 로ELD실(8) 내부 혹은 유지체수용실(16) 내부의 분위기가 트랜스퍼 스테이지(48)를 통하여 반출입실(13)의 안쪽으로 흐르도록 하여 파티클을 배제하도록 하는 것이 바람직하다(제2도 참조).
다음에 ,카세트 수납용기(30) 내부와 반출입실(13)의 사이에서 웨이퍼(W)의 주고받기를 행하는 경우에 대하여 설명한다.
먼저, 전 공정에서 처리된 웨이퍼 또는 미처리된 웨이퍼를 예컨대 25매 수용하는 것이 가능한 카세트(C)에 수용한 상태에서, 카세트 수납용기(30) 내부에 수납된다. 이 상태에서 AGV 혹은 오퍼레이터에 의해 카세트 수납용기용 포트(14)의 보트 얹어놓는대(36)의 소정 위치, 즉 용기바닥부 얹어놓는대(38) 상에 카세트 수납용기(30)를 얹어놓는다. 이 때 보트 얹어놓는대(36)의 용기호울더(45)를 세움으로써 용기본체의 플랜지부(31A)를 강하게 고정한다.
이 시점에서는, 카세트 도입기구(17)의 수직이동아암(41)의 앞끝단에 설치된 용기바닥부 얹어놓는대(38)는, 보트 얹어놓는대(36)에 형성되어 있는 카세트 끼워넣음구멍(37)이 완전히 밀폐되도록 폐쇄하여, 청정도가 낮은 작업영역측의 분위기가 반출입실(13) 내부로 침입하지 않도록 되어 있다. 이 카세트 수납용기(30) 내부는 미리 예를들면 1등급 정도의 매우 높은 청정도의 청정공기나 혹은 산소를 없애기 위하여 N2가스등의 불활성가스가 양압상태로 충전되어 주위의 분위기로부터 격리되어, 예를들면, 1000등급 정도의 낮은 청정도의 작업영역을 반송하여 오더라도, 이 분위기에 웨이퍼(W)가 노출되지 않도록 되어 있다. 또한 본 실시예에 있어서 카세트 수납용기(30) 내부는 청정도가 높은 청정공기에 의해 충전되어 있다.
용기본체(31)가 보트 얹어놓는대(36) 측에 고정되면, 용기바닥부 얹어놓는대(38)의 회전핀(39)을 회전시키는 것에 의해 용기바닥부(32)와 그 플랜지부(31A)를 연결하는 록핀을 해제한다.
다음에, 수직이동아암(41)을 하강시킴으로써 용기본체(31)를 남긴 채로 용기바닥부(32)와 이 위에 얹어놓여 있는 카세트(C)를 침입시켜 이들을 반출입실(13) 내부로 도입한다. 여기서 카세트(C)의 도입을 위해 용기바닥부 얹어놓는대(38)가 하강하여 보트 얹어놓는대(36)의 카세트 끼워놓음구멍(37)이 개방되어도, 그 위쪽에는 카세트 수납용기의 용기본체(31)가 기체밀폐적으로 덮여있으므로, 바깥쪽의 작업영역으로부터 차단된 상태로 유지되어, 청정도가 낮은 공기가 반출입실(13) 내부로 유입되는 일은 없다.
카세트(C)의 도입이 완료되면, 다음에 수평이동아암(42)을 굴곡시킴으로써 앞끝단의 돌기부(43)를 도입된 카세트(C)의 위쪽에 위치시킴과 동시에 이 돌기부(43)를 닫음으로써 카세트(C)의 상부측면을 파지한다. 그리고 카세트를 파지한 상태에서 상기 수평이동아암(42)을 신장시킴으로써 카세트(C)를 수평방향으로 이동시키고, 이것을 엘리베이터(47)에 의해 승강이동하는 캐리어 트랜스퍼(46)상으로 옮겨 싣는다. 또한 캐리어 트랜스퍼(46)로부터 웨이퍼를 장치 밖으로 반송하는 경우에는 상기한 순서로 반대의 조작을 행하면 된다.
여기에서, 수평이동아암(42)에 의해 카세트(C)를 캐리어 트랜스퍼(46)로 옮겨싣기 직전에는, 이 카세트(C)의 옆쪽을 상승 혹은 하강하는 캐리어 트랜스퍼(46)의 앞끝단에 형성된 매수 카운터로서의 광빔센서(53)(제7도 참조)의 발광소자(52A)에서 레이저가 발사되고 있다. 웨이퍼 끝단면으로부터의 레이저 반사광을 수광소자(52B)가 검지함으로써, 이 카세트 내의 웨이퍼의 매수정보 및 위치정보가 얻어지고, 여기에서 얻어진 정보는 후단의 웨이퍼 트랜스퍼(46)에 의해 웨이퍼를 웨이퍼보트(6)로 옮겨실을 때에 참조되어 반영되는 것으로 된다.
캐리어 트랜스퍼(46)로 옮겨실린 카세트(C)는, 웨이퍼의 처리대기인 경우에, 캐리어 스톡스테이지(49) 내부에 일단 수용되어 대기시키거나, 또는 트랜스퍼 스테이지(48)가 비어 있는 경우에는, 카세트(C)의 상기 트랜스퍼 스테이지(48) 상에 얹어놓는다. 이 캐리어 스테이지(48) 상의 카세트(C)에서는 상술한 바와 같이 웨이퍼 트랜스퍼(50)에 의해 웨이퍼(W)가 1매씩 혹은 복수매씩 취출되어 웨이퍼보트(6)로 옮겨 실리게 된다.
여기서, 웨이퍼(W)의 옮겨싣기를 완료하여 비게 된 카세트(C)는 다시 웨이퍼 트랜스퍼(50)에 의해 유지되어, 웨이퍼(W)의 처리가 완료할 때까지 캐리어 스톡스테이지(49)의 내부에 수납된다.
이와 같이, 카세트 수납용기용 포트(14)를 설치하고, 여기에 내부 분위기가 높은 청정도로 유지되어 외기 분위기와 격리된 카세트 수납용기(30)를 얹어놓아 웨이퍼(W)의 반입·반출을 행하도록 하였으므로, 이 장치의 바깥쪽에서 오퍼레이터 등이 작업하는 작업영역의 청정도가 웨이퍼를 노출시킨 상태로 반송하는 종래 장치의 경우와 비교하여 그 만큼 높아진다. 예를들어 종래 장치에서는 작업 영역의 청정도를 1로 하여 웨이퍼 반송시의 파티클의 부착을 방지해야만 하였으나, 본 실시예에 의하면 카세트 수납용기(30) 내부 및 로딩실(8) 내부, 유지체수용실(16) 내부의 분위기만을 청정도 1등급으로 하면 좋고, 작업영역의 분위기는 이보다 낮은 청정도, 예컨대 청정도 1000정도로 설정하면 좋다. 따라서 작업영역 분위기의 청정도를 낮게 설정하는 것이 가능하므로, 그 만큼 클린룸의 제조단가 및 운전단가를 삭감하는 것이 가능하고, 대폭적인 비용절감을 도모하는 것이 가능하다. 또한 이 경우에 카세트 수납용기(30) 내부는 대기압(반출입실 내의 압력)에 대하여 양압으로 되어 있으므로 용기내부에 청정도가 낮은 분위기가 침입하는 일도 없다. 또한 상기 반출입실(31)에는 제8도에도 나타낸 바와 같이 도입공기가 HEPA필터(55)를 통하여 그 위쪽에서 도입된 청정공기를 내부에서 순환시켜 서큘레이션을 행하거나 혹은 다운플로우를 형성하고 있다.
즉, 상기 필터(55)에서 도입된 청정공기는 상기 취출구로부터 수평방향으로 배출되고, 이 청정공기가 도입된 내부 HEPA필터(57)는 그 취출구 (57A)로부터 아래쪽으로 향하여 청정공기를 배출하여,다운플로우(69)를 형성하고 있다.
그리고,반출입실(13)의 바닥부로 흘러 내린 청정공기는 여기에 설치된 공기반출구(58)로 도입되고, 배기덕트(60)를 통하여 시스템 외부로 배출된다. 또 한 배기된 청정공기의 일부를 다시 반출입실(13) 내부로 되돌려 재순환시키도록 하여도 좋다.
여기서, 내부 HEPA필터(57)에 의해 도입된 청정공기의 일부는, 청정공기용 통로(66)를 통하여 청정기체 분출수단(18)의 횡류분출헤더(62)로 공급되고, 여기에서 수평방향으로 향해 방출되어, 카세트 수압용기용포트(14)의 아래쪽에서 청정공기의 횡류(65)가 형성된다.
따라서, 제9도 및 제10도에 나타낸 바와 같이 카세트(C) 내부의 다수의 웨이퍼(W)는 수평방향으로 배치되고, 상하로 소정의 피치로 다단으로 수용되어 있으므로, 반출입실(13) 내부로 카세트(C)가 침입하여 수용된 때에 이 청정공기의 횡류(65)에 쐬여, 웨이퍼 표면에 부착되어 있는 파티클이 불어 날려서 제거된다.
또한, 이 카세트 수납용기용 포트(14)는 단턱형상으로 형성되어 있으므로, 그 아래쪽의 공간은 다운플로우(69)에 쐬이지 않게 되어, 공기의 체류가 생기기 쉬운 경향이 있으나, 상술한 바와 같이 횡류분출헤더(62)에서 방출되는 청정공기의 횡류(65)에 의해 공기의 체류가 생기는 일이 없게 되고, 예를 들어 볼나사(40)나 수직이동아암(41)으로 이루어진 카세트 도입기구(17) 등의 가동기구로부터 발생하는 파티클 등을 횡류(65)에 실어 효율적으로 배출하는 것이 가능하여, 수율이 떨어지는 것을 저지할 수 있다.
그리고, 이런한 파티클 등을 수반하여 배출된 횡류(65)는 다운플로우(69)에 의해 아래쪽으로 운반되어 시스템 밖으로 배출된다.
한편, 카세트(C)가 취출되어 비게 된 카세트 수납용기(30)는, 이 장치 전방의 작업영역에 얹어놓은 선반(도시않됨) 등을 설치하도록 하여 여기에 오퍼레이터가 일시적으로 수용하도록 하여도 좋다. 이 경우에는 카세트 도입기구(17)의 용기바닥부 얹어놓는대(38)를 상승시켜 카세트 끼워놓음구멍(37)을 기체밀폐적으로 폐쇄하여 놓는 것을 물론이다.
또한, 상기 실시예에 있어서의 카세트 수납용기의 구조는 이것에 한정되는 것이 아니고, 카세트를 수용할 수 있는 밀폐구조의 용기라면 어떠한 것이라도 좋다. 또 카세트 수납용기로부터 카세트(C)가 반입 및 반출되는 카세트 도입기구(17)의 수직이동아암(41) 및 수평이동아암(42)의 구조도 카세트를 반출시킬 수 있는 것이라면 어떠한 구조를 채용하여도 좋다.
그리고, 본 실시예에서는 불활성가스의 소비량의 삭감이나 조작시간의 단축을 목적으로 한 유지체수용실(16)이 설치된 장치를 예로들어 설명하였으나, 이것을 설치하지 않은 종래 형태의 장치에 본 발명을 적용하여도 좋으며, 트랜스퍼스테이지(48)와 보트 엘리베이터(7)를 인접하여 설치하고, 트랜스퍼 스테이지(48) 상의 카세트 내부의 웨이퍼(W)를 옮겨싣기용 엘리베이터(51)에 의해, 보트 엘리베이터(7) 상의 웨이퍼보트(6)에 직접 옮겨싣도록 한 구성으로 하여도 좋다.
또한, 상기 실시예에서는 반출입실(13) 후단의 유지체수용실(16) 및 로딩실(8)의 구조는, 내부 분위기가 청정공기에 의해 항상 대략 대기압으로 유지되어 있는 통상의 로딩실 구조인 경우를 예로 들어 설명하였으나, 이것에 한정되지 않고, 예컨대 상기 로딩실(8)을 반출입실(13) 에 대하여 완전하게 밀폐가능하게 이루어진 소위 로딩실 구조로 함과 동시에, N2등의 불활성가스 혹은 청정공기의 공급·배출의 진공흡인이 가능하도록 된 구조로 하여도 좋다.
또한, 카세트 수납용기용 포트(14) 내부에 N2등의 불활성가스를 충전한 경우에는, 반출입실 및 로딩실 내부의 분위기 가스도 불활성가스로 하여, 웨이퍼 표면으로의 자연산화막의 형성을 억제하도록 구성하는 것이 바람직하다.
또한, 본 발명은 종형 CVD장치, 산화확산장치 뿐만 아니라, 반도체웨이퍼 이외의 것, 예컨대 글래스기판, LCD기판 등의 처리장치에도 적용하는 것이 가능하다.
이상에서 설명한 바와 같이, 본 발명의 처리장치에 의하면, 다음과 같이 우수한 작용효과를 발휘하는 것이 가능하다.
피처리체가 수용된 카세트가 수납된 카세트 수납용기를 설치하기 위한 카세트 수납용기용 포트를 반출입실에 설치하여 작업영역의 분위기에 노출되지 않은 피처리체를 반입 및 반출시키도록 하였으므로, 처리장치 바깥쪽의 작업영역 분위기의 청정도를 반출입실 내부 분위기의 청정도 정도로 높게 할 필요가 없다.
따라서, 피처리체가 떨어지는 반출입실이나 로딩실 내부의 분위기만의 청정도를 높게 설정하면 좋고, 클린룸 내부의 작업영역의 청정도를 낮게 한 상태에서 클린룸을 형성할 수 있으므로, 클린룸의 제조단가 및 운용단가를 대폭적으로 삭감할 수 있다.
또한, 카세트 수납용기용 포트의 아래쪽에 청정기체 분출수단을 설치하는 것에 의해 청정기체의 횡류를 형성하도록 하였으므로, 내부로 도입된 피처리체 표면으로부터 파티클이 날리거나, 또는 포트 아래쪽의 분위기를 순환시켜 이 부분에 체류가 생기는 것을 방지할 수 있어, 그 만큼 수율을 향상시키는 것이 가능하다.
[제2실시예]
이하, 본 발명의 제2실시예를 제11도 내지 제18도에 의거하여 설명한다.
여기서는, 본 발명의 처리장치를 반도체웨이퍼의 열처리장치에 적용한 경우에 대하여 설명한다.
제11도는 본 발명의 처리장치의 개략단면도, 제12도는 이 장치의 앞면쪽을 나타낸 사시도, 제13도는 제11도의 개략평면도, 제14도는 유지체수용실의 단면도, 제15도는 카세트 취출스테이지를 나타낸 단면도, 제16도는 카세트 수납용기를 나타낸 단면구성도이다. 또한 본 실시예에서는 먼저 로딩실로서 다른 방에 대하여 밀폐가능하도록 되고, 또한 불활성 분위기로서 N2가스가 공급·진공흡인 가능하도록 이루어진 소위 로딩실 구조로 된 장치에 대하여 설명한다.
본 발명의 처리장치는, 피처리체인 웨이퍼(W)에 소정의 처리를 행하는 처리실인 프로세스튜브(101)와, 이 프로세스튜브(101)에 대하여 다수매 예를들어 100매의 웨이퍼(W)를 수납한 유지체로서의 웨이퍼보트(106)를 끼우고 빼내는 이송기구(107)를 구비한 로딩실로서의 로딩실(108)과, 이 로딩실(108)에 대하여 웨이퍼(W)를 반입 및 반출하는 반출입실(112)과, 이 반출입실(112)에 형성된 카세트 수납용기용 포트(113)와, 이 포트(113)에 이 포트(113)에 얹어놓인 카세트 수납용기(114)를 반출입실(112) 내로 도입하는 도입수단(115)과, 도입된 카세트 수납용기(114)를 일시적으로 보관하는 용기보관 스테이지(116)와, 카세트 수납용기(114) 내부에 수용된 카세트(C)를 취출하는 카세트 취출스테이지(117)와 반출입실(112) 내부에 카세트 수납용기(114)의 주고받음을 행하는 용기 옮겨싣기수단(118)과, 로딩실(108)과 반출입실(112)의 사이에 배치되는 웨이퍼보트(106)를 수용하는 유지체 수용실(119)을 주요부로 하여 구성되어 있다.
이 경우, 상기 유지체수용실(119)과, 반출입실(112) 및 로딩실(108)의 사이에는, 프론트 오토도어(120), 리어 오토도어(121)가 각각 개폐가능하도록 설치되어 있다. 이들 프론트 및 리어 오토도어(120,121)가 폐쇄되면, 유지체수용실(119)이 밀폐상태로 유지되도록 되어 있다. 또 유지체수용실(119)에는 도시하지 않은 진공펌프에 접속된 진공배관(122)이 접속됨과 동시에, 도시하지 않은 예를 들면 N2가스 공급원에 접속된 N2가스 도입관(123) 및 N2가스 배출관(124)이 접속되어 있다. 따라서 상기 유지체 수용실(119) 내부를 소정의 진공분위기 또는 N2가스등의 분위기하에서 치환하는 것이 가능하다.
또한, 상기 유지체수용실(119)의 하부에는, 제14도에 나타낸 바와 같이, 예를들면 벨로우즈 시일(125)을 개재하여 얹어놓는대(126)가 상하운동이 가능하도록 배치되고, 이 얹어놓는대(126)의 실외측에 웨이퍼보트(106)의 유무를 확인하기 위한 검출수단(127A)이 설치되어 있다. 이 검출수단(127)은 얹어놓는대(126)에 연결된 가동검출제(127A)와, 이 가동검출제(127A)의 상하이동부에 관하여 대치되는 발광부(127B)와 수광부(127C)로 이루어진 광빔센서(127D)로 구성되어 있다. 따라서 유지체수용실(119) 내의 웨이퍼보트(106)가 수용되어 얹어놓는대(126) 상에 웨이퍼보트(106)가 얹어놓이면, 벨로우즈 시일(125)의 탄성력에 대항하여 그 중량에 의해 얹어놓는대(126)가 하강함과 동시에, 가동검출제(127A)도 하강하여 발광부(127B)로부터 수광부(127C)로의 광을 차단시킴으로써, 웨이퍼보트(106)의 유무를 판단하는 것이 가능하다. 또한 웨이퍼 보트(106)의 유무 검출은 반드시 이러한 검출수단(127)으로 행할 필요는 없으며, 예를들어 유지체수용실(119)의 천장이나 바닥부 혹은 벽부에 시일기구를 개재하여 매설되는 센서에 의해 웨이퍼보트(106)의 유무를 판단하는 등, 임의의 검출수단을 이용하는 것이 가능하다,
상기 처리실을 구성하는 프로세스튜브(101)는, 단면이 역U자형인 종형의 대략 원통형상인 석영제 용기로 형성되어 있으며, 이 프로세스튜브(101)의 바깥둘레에는 히터(105)가 설치되어 있고, 다시 그 주위에는 냉각 파이프나 단열제등이 조립된 보허커버(128)가 피복되어 있다. 또한 프로세스튜브(101)의 개구 하단에는 매니포울드(102)가 접속되어 있다. 이 매니포울드(102)는 상하 플랜지가 부착된 원통형상으로서, 제11도에 나타낸 바와 같이, 이 매니포울드(102)의 둘레벽부에 프로세스튜브(101) 내부로 소정의 처리용 가스를 도입하는 가스도입관(104)과, 처리후의 가스를 배기하는 배기관(103)이 각각 접속되어 있다. 이 경우 가스도입관(104)은 도시하지 않은 가스절환밸브를 통하여 소정의 처리가스공급원과 N2가스공급원에 접속되어, 상호간에 처리가스와 N2가스를 프로세스튜브(101) 내부로 도입할 수 있도록 되어 있다.
상기 로딩실(108)은, 예를들면 스텐레스제 패널을 전체 둘레에 용접하거나, 혹은 0-링으로 시일한 밀폐구조로 되어 있으며, 그 상부 및 하부의 적당한 위치에는 도시하지 않은 예를들면 N2가스공급원에 접속하는 N2가스도입관(129) 및 N2가스 배출관(130)이 각각 접속되고, 사전에 로딩실(108)내부에 배치되는 이송기구(107)는, 웨이퍼보트(106)를 얹어놓고 유지하는 보트 엘리베이터(107A)와,이 보트 엘리베이터(107A)를 승강이동시키는 볼나사장치(107B)로 구성되어 있다.
또한 로딩실(108) 내의 유지체수용실 쪽에는 이송기구(107)의 보트 엘리베이터(107A)와 유지체수용실(119)의 사이에서 웨이퍼보트(106)를 반송하는 반송기구(131)가 배치되어 있다. 이 반송기구(131)는 로딩실(108)의 외부에 설치된 수평회전(선회) 및 승강용 구동부(131A)와, 로딩실(108) 내부에 위치하는 구동부(131A)의 전달축에 연결되고, 웨이퍼보트(106)를 유지하는 다관절아암(131B)으로 구성되어 있다. 또한 로딩실(108)의 상부에 설치된 로의 입구부에는, 로딩실(108)과 프로세스튜브(101)의 개구부를 개폐하는 오토셔터(132)가 설치되어 있다.
한편, 상기 반출입실(112)의 앞면쪽, 즉 제11도의 우측에는 카세트 수납용기(114)가 설치되는 카세트 수납용기용 포트(113)를 좌우에 2대 배설하고 있다. 이 카세트 수납용기(114) 내부에는 복수매 예를들면 25매의 웨이퍼(W)를 수납하는 카세트(C)가 내부에 수납되어 있다(제12도 참조).
여기서, 카세트 수납용기(114)에 대하여 설명하면, 제16도에 나타낸 바와 같이, 이 용기(114)는 일본국 특개평 1-222429호 공보나 미합중국 특허 제 4534389호 공보에 개시되어 있는 바와같이 하나의 카세트(C)를 수용할 수 있는 정도의 크기로 되어 있고, 하부가 개구된 사각형상의 용기본체(132)와, 이 개구부를 밀폐가능하게 폐쇄하는 용기바닥부(133)로 이루어지며, 내부에 카세트(C)를 수용한 상태에서 대기압에 대하여 양압으로 된 높은 청정도의 청정공기 혹은 N2와 같은 불활성가스가 충전되어 있다. 이 때문에 이 용기바닥부 혹은 측벽에는 청정공기나 불활성가스를 내부로 도입하는 밸브가 부착된 가스도입로(134)가 마련되어 있다.
용기바닥부(133)는 용기본체(132) 하부의 플랜지부(132A)와 0-링 등의 시일부재(135)를 개재하여 기밀하게 밀폐가능하도록 되어 있다. 이 용기바닥부(133)의 둘가장자리부의 적절한 장소에는 바깥쪽으로 출몰가능한 록핀(136)이 장착되어 있으며, 이 록핀(136)을 용기바닥부(133)의 중앙부에 설치된 회전링기구(137)에 연결하여 이것을 회전시킴으로써 용기본체(132)와의 접합 및 이탈이 가능하도록 되어 있다. 카세트 수납용기의 용기본체(132)의 상부에는 파지부(138)가 마련되어 있으며, 예를들면 오퍼레이터가 이 파지부(138)를 잡는 것에 의해 이 카세트 수납용기 전체를 용이하게 운반하도록 되어 있다. 이 카세트 수납용기(114)로서는 예를들어 SMIF-POD(상품명)를 이용하는 것이 가능하다.
제11도 및 제12도에 나타낸 바와 같이, 카세트 수납용기용 포트(113)는 반출입실(112)의 앞면 측벽의 일부가 내부로 오목하게 들어가도록 형성되어 있으며, 카세트 수납용기(114)를 얹어놓는 보트 얹어놓는대(139)에는, 카세트 수납용기 전체를 내부에 수용할 수 있도록 이 바닥부 면적보다 크게 되어 있는 용기도입구(140)가 형성되어 있다. 이 용기도입구(140)에는 상기 도입수단(115)의 아암(141) 앞끝단에 설치된 승강대(142)가 밀폐가능하게 위치되어 있고, 이 아암(141)은 예를들면 볼나사(143)에 나사맞춤되어 이것을 승강시킬 수 있도록 되어 있다. 따라서 상기 승강대(142) 상에 카세트 수납용기(114)가 얹어놓인 상태에서 승강대(142)를 하강시킴으로써, 제11도중의 가상선으로 나타낸 바와 같이 카세트 수납용기 전체를 반출입실(112)내로 도입시킬 수 있게 되어 있다.
반출입실(112)의 내부에는, 카세트 수납용기용 포트(113)의 바로 뒤쪽 위치에서, 이것과 상기 용기보관 스테이지(116)의 사이에, 용기 옮겨싣기수단(118)으로서의 용기 트랜스퍼(144)가 엘리베이터(145)를 통하여 승강가능하도록 설치 되어 있다. 이 용기 트랜스퍼(144)는 엘리베이터를 따라서 승강하는 기초대(146)에 설치된 굴곡아암(147)을 가지고 있으며, 이 굴곡아암(147)의 앞끝단에는 상기 카세트 수납용기(114)의 측벽을 파지하기 위하여 상호간에 근접 및 이탈이 가능한 한쌍의 척(148)이 설치되어 있다. 또한 상기 엘리베이터(145)의 하단은 용기보관 스테이지(116)의 배열방향으로 부설된 안내레일(149) 상에 예를들면 축받이(150)를 통하여 이동가능하도록 지지되어 있다. 따라서 상기 카세트 수납용기용 포트(113) 에서는 도입된 카세트 수납용기(114)를 이 용기 트랜스퍼(144)에 의해 파지하여 상기 용기 보관 스테이지(116)로 옮겨싣도록 되어 있다.
상기 엘리베이터(145)와 상기 용기보관 스테이지(116)의 사이에는, 용기트랜스퍼(144)에 파지된 카세트 수납용기(114)를 이동시킬수 있도록 작업공간이 형성되어 있다. 또한 본 실시예에서 용기보관 스테이지(116)에는, 상하방향으로 3단의 선반부(151)가 배열됨과 동시에 각 선반부(151)는 세로방향으로 3개가 칸막이되어 있으며, 따라서 3열 3단의 합계 9개인 카세트 수납용기(114)를 수용할 수 있도록 되어 있다. 또 선반부(151)에 있어서의 카세트 수납용기(114)의 수용갯수는 상기 개수에 한정되지 않으며, 필요에 따라 증감시키도록 하여도 좋으나, 적어도 한 개의 웨이퍼보트에 얹어놓은 웨이퍼의 매수, 예를들어 100매의 웨이퍼를 수용할수 있는 카세트수, 예컨대 25매가 들어간 카세트인 경우에는 4개를 수용할 수 있는 크기로 설정한다.
또한, 작업공간의 아래쪽에는 카세트 수납용기(114)로부터 카세트(C)를 도출하기 위한 상기 카세트 취출스테이지(117)가 배치되어 있다. 구체적으로 이 취출스테이지(117)는, 웨이퍼 트랜스퍼(152) 측의 한쪽이 개방된 사각형상의 상자체(153)에 의해 그의 주위가 구획되어 있음과 동시에, 이 상자체(153)는 상기 반출입실(112) 내부를 예를들면 로딩실(108)이나 유지체수용실(119) 측으로부터 밀폐상태로 나누기 위한 구획벽(154)에 접속되어 있다. 카세트를 카세트 수납용기(114)에서 취출하였을 때에, 웨이퍼(W)가 유지체수용실(119) 측의 분위기인 불활성가스에 쐬이지 않도록 되어 있다(제13도 참조).
이 상자체(153) 천장의 얹어놓는부(153A)에는, 용기본체(132)의 플랜지부(132A)의 내경보다 크고 또한 그의 외경보다 작게 되어 카세트의 취출시에 개폐하는 개폐부로서 카세트 끼워넣음구멍(155)이 형성되고, 이 끼워넣음구멍(155)에는 둘레 가장자리부를 그의 바깥쪽으로 하향 경사시켜 테이퍼형상으로 형성함으로써 얹어놓는부(153A)로부터 아랫방향으로 기체밀폐적으로 부착 및 이탈가능하도록 한 용기바닥부 얹어놓는대(156)가 설치되어 있다. 이 용기바닥부 얹어놓는대(156)는 카세트 취출기구(163)에 일부를 구성하는 것으로서, 이 얹어놓는대(156)의 중앙부에는 상기 카세트 수납용기의 용기바닥부(133)의 회전링기구(137)에 걸어맞춤하는 회전핀(157)이 설치되어 있으며, 이것이 회전됨으로써 상기 회전링기구(137)를 작동시켜 록핀(136)을 출몰시킬 수 있도록 되어 있다.
또한, 이 용기바닥부 얹어놓는대(156)는, 제11도에 나타낸 바와 같이 상자체(153) 내부에 세워져 설치된 볼나사(158)에 의해 수직방향(상하방향)으로 이동가능하게 된 수직이동아암(159)의 앞끝단에 부착되어 있으며, 용기본체(132)를 얹어놓는부(153A)에 얹어놓은 상태에서 용기바닥부(133)와 이 윗면에 얹어놓여져 있는 카세트 만을 침입시켜서 상자체(153) 내부, 즉 카세트 취출스테이지(117) 내부로 도입하도록 되어 있다.
또한, 카세트 끼워넣음구멍(155) 주변부의 얹어놓는부(153A)에는 일어나고 쓰러지는 것이 가능하게 된 고리형상의 용기호울더(160)가 복수부위에 설치되어 있으며, 이것을 일으켜 세움으로써 용기의 플랜지부(132A)의 록·언록을 행할수 있도록 되어 있다.
또한, 카세트 취출스테이지(117)의 유지체수용실 측에는 웨이터 트랜스퍼(152)가 옮겨싣기용 엘리베이터(162)에 의해 승강가능하도록 지지되어 설치되어 있다.
이 웨이퍼 트랜스퍼(152)는 승강하면서, 용기바닥부 얹어놓는대(156) 상의 카세트(C) 내의 웨이퍼(W)를 1매씩 취출하여, 유지체수용실(119) 내부에 수용된 웨이퍼보트(106)에 수납유지시키거나, 그 반대로 웨이퍼 보트(106)로부터 웨이퍼(W)를 용기바닥부 얹어놓는대(156) 상의 카세트(C) 내로 되돌리는 동작을 하도록 구성되어 있다.
다음에, 상기와 같이 구성돤 처리장치의 동작상태에 대하여 설명한다.
먼저, 카세트 취출스테이지(117)에서 취출된 카세트(C) 내의 웨이퍼를 로딩실(108)을 통하여 프로세스튜브(101)의 사이에서 반송하는 경우에 대하여 설명한다.
먼저, 유지체수용실(119)에 웨이퍼보트(106)를 수용한 상태에서, 유지체 수용실(119)의 반입 및 반출측의 프론트 오토도어(120)를 열어 유지체수용실(119)과 반출입실(112)의 카세트 취출스테이지(117) 내부를 연이어 통하게 한다.
이경우 반출입실(112)의 작업공간과 취출스테이지(117)내부는 분리구획되어 있기 때문에 분위기가 연이어 통하는 것은 아니다. 즉 작업공간 측에는 청정도가 낮은 예를들면 청정도가 1000등급 정도인 클린룸측의 청전공기에 쐬이고 있으나, 상자체(153) 등에 의해 밀폐상태로 칸막이 된 상기 카세트 취출스테이지(117) 내부에는 청정도가 낮은 청정공기가 유입되는 것은 아니다. 이 상태에서 웨이퍼 트랜스퍼(152)에 의해 용기바닥부 얹어놓는대(156) 상의 카세트(C) 내의 웨이퍼(W)를 유지체수용실(119) 내의 웨이퍼보트(106)에 옮겨 싣고, 소정매수 예를들면 100매의 웨이퍼(W)가 웨이퍼보트(106)에 수납된 후, 프론트 오토도어(120)를 닫아서 유지체수용실(119) 내부를 밀폐상태로 한다. 그리고 유지체수용실(119) 내부를 진공흡인에 의해 소정의 진공압력상태로 하거나, 또는 웨이퍼보트(106) 유지체수용실(106) 및 웨이퍼(W)를 카세트 수납용기(114) 내부와 마찬가지로 불활성가스분위기를 포함하여, 웨이퍼(W)로의 자연산화막의 형성 및 파티클 부착에 의한 화학적 오염의 발생을 방지한다.
상기와 같이 하여 유지체수용실(119) 내부가 진공상태로 되면, 유지체수용실(119) 내부를 구획하고 있는 리어 오토도어(121)를 연다. 그리고 반송기구(131)를 구동시켜 유지체수용실(119) 내부의 웨이퍼(W)가 수용된 웨이퍼보트(106)를 보트 엘리베이터(107A)상으로 옮겨실어 유지한다.
이어서, 보트 엘리베이터(107A)가 상승하여 웨이퍼보트(106)는 프로세스튜브(101) 내로 삽입되고, 웨이퍼보트(106)의 플랜지(106A)가 매니포울드(102)의 플랜지(102a) 에 맞닿아 프로세스튜브(101) 내부가 밀폐된다. 그리고 배기관(103)을 이용하여 프로세스튜브(101) 내부의 N2가스를 배출하고, 프로세스튜브(101)내부가 소정의 진공도에 도달한 시점에서, 가스도입관(104)에 의해 처리가스를 도입하여 프로세스 압력에서 소망의 처리를 행한다.
처리가 끝난 후에 배기간(103)을 이용하여 프로세스튜브(101) 내부의 처리가스를 배출하고, 프로세스튜브(101) 내부가 소정의 진공도에 도달한 시점에서 웨이퍼보트(106)를 하강시키고, 상기 반입순서와 반대의 순서로 처리가 끝난 웨이퍼(W)를 취출한다.
따라서, 반출입실(112)과 로딩실(108)의 사이에 유지체수용실(119)을 배치하고, 카세트 취출스테이지(117)에 의해 카세트 수납용기(114)로부터 미처리된 웨이퍼(W)를 대기에 노출시키지 않고, 미리 불활성가스분위기로 된 유지체수용실(119) 내부로 반송하므로, 웨이퍼 표면으로의 자연산화막의 형성은 방지된다. 또한 비교적 소용량의 유지체수용실(119) 내부만이 진공상태로 되므로, 웨이퍼(w)를 단시간에 진공분위기하에 놓을 수 있어, 처리시간이 단축이 도모된다.
다음에, 카세트 수납용기(114) 내부의 반출입실(112) 내부로의 반입 및 카세트 수납용기(114)로부터의 웨이퍼(w)의 취출을 행하는 경우에 대하여 설명한다.
먼저, 전 공정에서 처리된 웨이퍼 또는 미처리된 웨이퍼를 예컨대 25매 수용하는 것이 가능한 카세트(C)에 수용한 상태에서, 카세트 수납용기(114)내부로 수납되고, 이 상태에서 AGV 혹은 오퍼레이터에 의해 카세트 수납용기용 포트(113)의 얹어놓는대(139)의 소정 위치, 즉 승강대(142) 상에 카세트 수납용기(114)를 위치시킨다. 이 카세트 수납용기(114) 내부는 미리 예를들면 1등급 정도의 매우 높은 청정도의 청정공기나 혹은 비산소로 하기 위하여 N2가스 등의 불활성가스가 양압상태로 충전되어 주위의 분위기로부터 격리되어 있으며, 예를 들어 1000정도인 낮은 청정도의 작업영역을 반송하여도,이 분위기에 웨이퍼가 노출되지 않도록 되어 있다. 본 실시예에서는 수납용기(114) 내부가 N2가스분위기로 채워져 있는 경우에 대하여 설명한다.
용기본체(114)가 승강대(142) 상에 얹어놓여 있다면, 그 아래쪽에 설치된 도입수단(115)을 구동시킴으로써 승강대(142)를 강화시키고, 용기 도입구(140)를 통하여 카세트 수납용기 전체를 침입시켜 반출입실(112) 내부로 도입한다. 이 상태는 제11도에서 가상선으로 나타낸다.
카세트 수납용기(114)의 도입이 완료하게 되면, 다음에 반출입실(112) 내부에 세워져 설치된 용기 트랜스퍼(144)를 구동시켜, 이 굴곡아암(147)의 앞끝단에 장착된 척(148)에 의해 카세트 수납용기(114)의 측벽을 끼워서 이것을 유지한다. 수납용기(114)를 유지하게 되면, 다시 용기 트랜스퍼(144)를 구동시켜, 유지된 수납용기(114)를 용기보관 스테이지(116)의 소망의 수납선반부에 수납하게 된다. 이 경우 용기 트랜스퍼(144)의 상하방향의 이동은, 이것을 지지하는 엘리베이터(145)에 의해 행하고, 수평방향으로의 이동은 엘리베이터(145) 자체를 안내레일(149) 상을 따라 이동시킴으로써 행한다.
이와 같이 일련의 반입조작을 반복함으로써, 용기보관 스테이지(116)에는 소정수의 카세트 수납용기(114)를 보관하는 것이 가능하다. 또한 상기한 것과 반대의 조작을 행함으로써, 용기보관 스테이지(116)에 보관하고 있는 카세트 수납용기(114)를 방치바깥으로 반출하는 것이 가능하다.
다음에, 카세트 수납용기(114) 내부의 카세트(C)를 취출하는 경우에는, 먼저 상술한 바와 같이 용기 트랜스퍼(144)를 구동시킴으로써 용기보관 스테이지(116)에 보관하고 있는 카세트 수납용기(114)를 카세트 취출스테이지(117)의 얹어놓는부(153A) 상에 얹어 놓는다. 이 상태에서는 미리 카세트 취출기구(163)의 용기바닥부 얹어놓는대(156)는 최상단에 위치되고, 카세트 끼워넣음구멍(155)을 기체밀폐적으로 폐쇄하고 있으며, 비교적 청정도가 낮은 반출입실(112) 내부의 청정공기가 N2불활성 분위기로 채어져 있는 카세트 취출스테이지(117)의 상자체 (153) 내로 침입하지 않도록 되어 있다. 또한 이 경우 도입수단(115)에 의해 도입된 카세트 수납용기(114)를 용기보관 스테이지(116)를 통하지 않고, 직접 취출스테이지(117)로 반송하도록 하여도 좋다.
카세트 수납용기(114)가 천장 얹어놓는부(153A)에 얹어지면, 그 주변부에 설치된 용기호울더(160)를 세움으로써 용기본체(132)의 플랜지부(132A)를 강하게 고정한다(제15도 참조). 이 상태에서 아래쪽에 설치된 카세트 수납용기(114)의 용기바닥부(133)가 겹쳐진 상태로 되어 있으며, 얹어놓는대(156)에 설치된 회전핀(157)이 해제방향으로 회전함으로써, 이것에 끼워맞추어져 있는 용기바닥부(133)의 회전링기구(137)를 회전시켜, 용기바닥부(133)와 이 플랜지부(132A)를 연결하는 록핀(136)을 해제함으로써 이들의 걸어맞춤을 차단한다.
다음에, 카세트 취출기구(163)를 구동하여, 수직이동아암(159)을 강화시킴으로써, 용기본체(132)를 남긴체로 용기바닥부(133)과 이 위에 얹어놓여져 있는 카세트(C)는, 용기바닥부 얹어놓는대(156) 상에 유지된 상태로 침입하여 상자체(153) 내부, 즉 카세트 취출스테이지(117) 내부로 도입된다. 이 때의 상태는 제11도에 나타내었다. 카세트 수납용기(114)에서 취출된 카세트 중의 웨이퍼(W) 는 전술한 바와 같이 웨이퍼트랜스퍼(152)에 의해 웨이퍼보트(106)에 옮겨싣는다. 이때 카세트 끼워넣음구멍(155)은 용기바닥부 얹어놓는대(156) 대신에 카세트 수납용기(114)의 사각형상의 용기본체(132)에 의해 기체밀폐적으로 덮여있으므로, 반출입실(112)의 작업공간 내의 비교적 청정도가 낮은 청정공기가, 이 카세트 취출스테이지(117) 내부로 유입하여 오는 일이 없고, 또한 유지체수용실(119) 측은 N2가스분위기로 되어 있으므로, 웨이퍼(W)는 산소를 포함하는 산화성 분위기에 노출되지 않아, 자연산화막의 성장을 대폭으로억제하는 것이 가능하다.
다음 카세트(C) 내부의 웨이퍼(W)를 웨이퍼보트에 옮겨싣기 위하여는, 상술한 바와 같은 웨이퍼 옮겨싣기 조작에 의해 카세트 내부가 비게 되지 않으면, 상술한 것과 반대의 조작을 행함으로써 용기바닥부 얹어놓는대(156)를 상승시키고, 빈 카세트(C)를 카세트 수납용기(114)내부에 수용하여 용기바닥부(133)의 록핀(136)을 록시킨다. 그리고 용기호울더(160)를 해제한 후, 용기 트랜스퍼(144)에 의해 빈 상태의 카세트 수납용기(114)를 용기보관 스테이지(116)의 소정의 선반부(151)에 보관한다. 그리고 새로운 미처리된 웨이퍼(W)에 들어 있던 카세트 수납용기(114)를 용기 트랜스퍼(144)에 의해 상술한 것과 마찬가지로 카세트 취출스테이지(117)로 반송하고, 카세트(C)를 취출하게 된다. 이와 같은 일련의 조작을 반복함으로써, 미처리된 웨이퍼(W)를 웨이퍼보트(106)로 옮겨싣고, 또한 웨이퍼가 비어 있는 카세트 수납용기(114)는 웨이퍼(W)의 처리가 종료하기까지 용기보관 스테이지(116)에 보관된다. 또 처리가 끝난 웨이퍼는 상술한 것과 반대의 조작을 행함으로써 카세트 수납용기(114) 내부로 옮겨실리게 된다.
이와 같이 본 실시예에 있어서는, 카세트 수납용기용 포트(113)를 설치하고 여기에 내부분위기가 예를 들면 불활성가스분위기로 유지되어 외기 분위기와 격리된 카세트 수납용기(114)를 얹어놓아 수납용기마다 장치내로 도입하도록 하였으므로, 이 장치의 바깥쪽에서 오퍼레이터 등이 작업하는 작업영역의 청정도를, 웨이퍼를 빼낸 상태에서 반송하고 있던 종래장치의 경우와 비교하여 그만큼 높게 할 수 있다. 예를들어 종래장치에서는 작업영역의 청정도를 1로 하여 웨이퍼 반송시의 파티클의 부착을 방지하여야만 하였으나, 본 실시예에 의하면 카세트 수납용기(114) 내부의 분위기만을 청정도 1 또는 불활성가스분위기로 하면 좋고, 작업영역의 분위기는 이것보다도 낮은 청정도, 예를들면 청정도 1000 정도로 설정하면 좋다. 따라서 작업영역의 분위기의 청정도를 낮게 설정하는 것이 가능하므로, 그 만큼 클린룸의 제조단가를 삭감시키는 것이 가능하고, 대폭적인 원가절감을 도모하는 것이 가능하다.
또한, 종래장치에서는, 웨이퍼를 빼어낸 상태에서 클린룸 내부 및 장치의 반출입실 내부에서 비교적 장시간 대기되는 것에 의해 화학적 오염의 발생빈도가 높으나, 본 실시예에서는 웨이퍼가 웨이퍼보트에 옮겨싣기 직전까지 높은 청정도의 분위기로 채워진 카세트 수납용기(114) 내부에 격리되어 있으므로 화학적 오염의 발생도 대폭으로 억제하는 것이 가능하다.
또한, 반출입실(112) 내부는 카세트 취출스테이지(117) 내부를 제외하고, 구획벽(154)에 의해 구획되어 불활성가스분위기로 되어 있는 유지체수용실(119)측에서 분리되어 있으며, 카세트 취출스테이지(117) 내부에는 항상 불활성가스분위기로 되어 있으므로 웨이퍼(W)를 둘러싸는 분위기는 항상 불활성 가스분위기로 되고, 따라서 웨이퍼 표면에 자연산화막이 성장하는 것을 대폭으로 억제할 수 있다. 또 이 자연산화막의 성장을 제어하기 위하여는 수납용기(114) 내부에 N2가스를 충전하고 카세트 취출스테이지(117) 내부, 유지체수용실(119) 내부 및 로딩실(108) 내부만을 N2가스분위기로 하여 반출입실(112)에는 N2가스를 공급할 필요가 없으므로, 반출입실(112)도 N2가스를 공급하는 경우와 비교하여 N2가스 사용량도 대폭으로 억제할 수 있어, 운용비를 삭감할 수 있다.
또한, 카세트 수납용기(114)를 도입하는 도입수단(115)이나 용기 트랜스퍼(144)와 같이 파티클이 발생하기 쉬운 가동부분을 가지는 구조를 설치한 반출입실(112) 내부의 작업공간에서, 카세트(C) 내부의 웨이퍼(W)가 수납용기로부터 취출되어 노출한 상태로 되는 공간을 구획하므로 웨이퍼(W)가 수납용기로부터 취출되어 노출한 상태로 되는 공간을 구획하므로 웨이퍼(w)에 파티클이 부착될 가능성을 대폭으로 억제할 수 있어, 그만큼 수율도 향상시킬 수 있다.
한편, 상기 실시예에 있어서의 카세트 수납용기의 구조는 이것에 한정되지 않고, 카세트를 수용할 수 있는 밀폐구조의 용기이면 어느 것이라도 좋다. 또 카세트 수납용기로부터 카세트(C)를 반출입시키는 카세트 취출기구(163), 수납용기(114)를 장치내부에서 반송하는 용기 트랜스퍼(144)나 굴곡아암(147)의 구조는, 각각 동일한 기능을 발휘하도록 한다면, 그 구조는 한정되지 않는다.
상기 실시예에서는 로딩실(108)로서 불활성가스의 공급 및 배출이 가능함과 동시에 진공흡입이 가능한 소위 로딩실을 이용한 때의 장치를 예로서 설명하였으나, 이것에 한정되지 않고, 청정도가 높은 청정공기를 순환시켜 항상 거의 상압으로 되는 소위 통상의 로딩실을 사용한 장치에도 적용할 수 있음은 물론이다.
이와같은 장치예는 제17도 및 제18도에 나타나 있고, 제1도에 나타낸 장치와 동일부분에 대하여는 동일 부호가 부여되고 있다.
이 장치에 있어서는, 로딩실(108) 내부의 청정도가 높고, 예를들면 1등급정도의 청정공기가 대략 대기압으로 항상 유지되어 있기 때문에 유지체수용실(119)을 막은 프론트 오토도어(120), 리어 오토도어(121)(제111도 참조)가 불필요하고, 이 유지체수용실(119) 내부는 로딩실(108) 내부와 연이어 통해져 일체화 된다. 그리하여 이들 양 실(108,119) 내부 및 옮겨싣기용 엘리베이터(162)의 수용실 내부를 칸막이 하는 측벽에는 적당한 수의 필터(170,170,170)를 가지는 청정공기 도입구(172)가 설치됨과 동시에 이것과 대향하는 타측벽에는 동일하게 적정한 수의 필터(174,174,174)를 가지는 청정공기 배출구(176)가 설치되어, 각 실(108,119)의 내부 전체에 걸쳐 청정공기의 횡류를 형성하도록 되어있다. 또 청정공기 배출구(176)로부터 배출된 청정공기의 일부는 청정공기 도입구(172) 쪽으로 되돌아와서, 실 내부를 순환하도록 되어 있다.
이 실시예에 있어서는, 로딩실(108) 내부와 반출입실(112) 내부를 밀폐구획시킬 필요가 없으므로, 카세트 취출스테이지(117)를 형성하는 상자체(153)의 측벽이 불필요하게 되고, 예를들어 카세트 취출시에 카세트 수납용기(114)를 얹어놓는 천장부(178)와, 수직이동아암(159)의 하부를 유지하는 바닥부(180)를 설치하면 되고, 제18도에 나타낸 바와같이 로딩실(108) 내부와 반출입실(112) 내부는 상기 카세트 취출스테이지(117)를 통하여 항상 연이어 통하게 되어 있다.
이 경우 반출입실(112) 내부의 청정도가 낮아, 예를들어 1000등급의 청정공기가 웨이퍼(w)가 노출되어 있는 로딩실(108) 내부로 침입하는 것을 방지하기 위해, 로딩실(108) 내부의 압력을 반출입실(112) 내부의 압력보다 항상 높게, 즉 양압상태로 되도록 유지하여 두고, 로딩실(108) 내부의 청정공기가 카세트 취출스테이지(117) 부분을 통하여 항상 반출입실(112) 내부쪽으로 흐르도록 되어있다.
이와 같은 실시예에 있어서도, 먼저 설명한 것과 동일한 효과를 발휘할 수 있다.
또한, 이상의 실시예에 있어서는 불활성가스의 소비량의 삭감이나 조작시간의 단축을 목적으로 한 유지체수용실(119)를 설치한 장치를 예로 들어 설명하였으나, 이것을 설치하지 않은 종래형태의 장치에 본 발명을 적용 하여도 좋다.
또, 본발명은 종형 CVD장치, 산화확산장치 뿐만 아니라 반도체웨이퍼이외의 것, 예컨대 글래스기판, LCD기판 등의 처리장치에도 적용할 수 있다.
이상에서 설명한 본 발명이 처리장치에 의하면, 다음과 같이 우수한 작용 효과를 발휘할 수 있다.
피처리체가 수용된 카세트를 수납한 카세트 수납용기를 설치하기 위한 카세트 수납용기용 포트를 반출입실에 설치하여 카세트 수납용기마다 장치내부로 도입하도록 되어 있으므로, 피처리체를 작업영역의 분위기에 노출되지 않고 반입 및 반출시킬 수 있고, 따라서 처리장치의 바깥쪽의 작업영역의 분위기의 청정도를 종래의 피처리체가 노출된 상태에서 반송하던 경우와 같은 정도로 높게 할 필요가 없다.
따라서, 클린룸 내부의 작업영역의 청정도를 낮게 한 상태에서 클린룸을 형성할수 있으므로, 클린룸의 제조단가 및 운용비를 대폭으로 삭감할 수 있다.
또한, 빈 카세트 수납용기를 보관하는 장소를 장치내의 여유 공간으로 확보하도록 하였기 때문에, 장치 이외에 보관장소를 별개로 설치한 경우에 비하여 클린룸의 바닥 면적을 적게 할 수 있어, 이점에서도 설비단가를 삭감할 수 있다.
또, 카세트 취출 스테이지를 불활성가스분위기로 함으로써, 카세트 수납용기 내부의 피처리체를 대기에 노출시키지 않고 반송하여 처리할 수 있으므로, 자연 산화막의 성장을 대폭으로 억제할 수 있다.
[제3실시예]
이하, 본발명의 제3실시예를 제19도 내지 제24도를 참조하여 설명한다. 여기에서는 반도체웨이퍼에 절연막을 생성하는 산화장치 또는 CVD장치 등으로 이용되는 종형 열처리장치를 예시한다.
제19도는 종형 열처리장치의 종단면도, 제20도는 제19도의 A-A선에 따른 수평단면도, 제21도는 제19도의 B-B선에 따른 단면도, 제22도는 제19도의 C-C선에 따른 종단면도, 제23도는 피처리장치 전체의 가스제어시스템을 나타낸 개략도이다.
먼저, 제19도 내지 제23도에 나타낸 바와 같이 본 실시예에 관한 종형 열처리장치는 처리장치본체(201)를 가진다, 이 처리장치본체(201)는 하우징패널(201a)을 이용한 상자형태로 이루어지는 구조로서, 이 전면부를 공장내의 클린룸(202)에 대향시키고, 그밖의 대부분을 격벽(203)에 의해 떨어져 유지관리룸(204) 내부에 수납하도록 설치되어 있다.
이 장치본체(204) 내의 대략 상반부에는 피처리물로서의 얇은 디스크 형태의 반도체웨이퍼(205)에 소정 처리를 행하는 처리실(206)이 격벽(207)에 의해 구획됨과 동시에, 이 처리실(206)의 바로 아래에 로딩실(298)이 N2가스 등의 불활성가스분위기로 치환 유지되도록 기밀성을 갖고 구획되어 있다. 또 장치본체(201)의 전면부에 반도체웨이퍼(205)를 수납한 수납용기(209)를 수납한 수납용기(209)를 끼우고 빼내는 패스박스(210)가 설치되어 있다.
또한, 각 부를 상세하게 기술하면, 먼저 수납용기(209)는 제19도 내지 제21도에 나타낸 바와 같이, 피처리물인 반도체웨이퍼(25)를 다수매 병렬상태로 수납 유지한 카세트(상자형태 캐리어)(211)를 수납하여 반송에 제공되는 것이므로, 아래면이 개방된 적당한 크기의 하트형상을 이루는 박스본체(212)와, 이 바닥면에 시일부재(213)를 통하여 접합된 덮개(214)를 구비하여, 그 박스본체(212)의 측벽부에는 평상시에 폐쇄되어 있는 불활성가스 통기밸브(215)를 설치한 구성이다.
이 통기밸브(215)를 통하여 N2가스 등의 불활성가스를 주입하는 것으로 내부전체를 불활성가스분위기로 치환유지할 수 있는 동시에, 그 내부의 불활성가스를 통기밸브(215)로부터 흡입하여 내부를 적당한 부압력상태로 되는 것으로, 박스본체(212)에 대하여 덮개(214)가 시일부재(213)를 통해 흡착되어 폐쇄유지되고, 통기밸브(215)로부터 불활성가스를 공급하여 부압력상태를 해제하여 박스본체(212)를 위쪽으로 들어올려 덮개(214)와 이탈하여 개방하고, 이 상태에서 반도체웨이퍼(205)가 수납된 카세트(211)의 끼우고 빼내거나, 그 카세트(211) 내의 반도체웨이퍼(205)의 끼우고 빼내기가 가능하게 되어 있다.
상기 패스박스(210)는 장치본체(201)의 전면 하우징패널(201a)에 일체적으로 조립부착하여 구성된 소형(소용량)의 세로로 긴 박스체로서, 이 전면부와 후면부[처리장치본체(201) 내측] 에 각각 슬라이드식으로 개폐하는 오토도어(220,221)가 설치되어 있다. 이들 오토도어(220,221)는 각각 독자의 개폐구동부를 구비하여, 교대로 열거나 동시에 시일부재를 통해 기밀하게 폐색하여 내부전체를 밀봉할 수 있다. 이 바깥쪽의 오토도어(220)가 열림으로써 상기 반도체웨이퍼(205)가 수납된 수납용기(209)를 바깥쪽에서 적정 반송수단으로부터 패스박스(210) 내부로 원활하게 끼우고 빼내어 수납할 수 있으며, 내측의 오토도어(221)가 열림으로써 패스박스(210)가 처리장치본체(201) 내부의 로딩실(208)과 연이어 통하도록 되어 있다.
이 패스박스(210)에는 그 박스 내부를 불활성가스로 치환하는 가스 공급 및 배출수단으로서의 가스도입관(224)과 배기관(225)이 접속되어 있다. 이 가스 도입관(224)은 제23도에 나타낸 바와 같이 밸브(226) 및 레귤레이터(227)를 통하여 불활성가스 공급장치(228)에 접속되어 있고, 그 가스도입관(224)으로부터 패스박스(210) 내부에 불활성가스로서, 예를들면 N2가스를 도입할 수 있다. 한편 배기관(225)이 오토댐퍼(229)를 통하여 흡인 플로어가 부착된 공장배기장치(230)에 접속되어 패스박스(210) 내부의 가스를 흡인하여 배기시킬수 있도록 되어 있다.
그리고, 상기 패스박스(210)에는 덮개제거기구(233)가 설치되어 있다. 이 덮개제거기구(233)는 패스박스(210)의 상판부에 세워 설치된 승강용 에어실린더(234)와, 이 피스톤로드 하단에 지지된 개폐용 에어실린더(235)와, 이 개폐용 에어실린더(235)의 피스톤로드 양끝단에 지지된 좌우 한쌍의 클램프아암(236)을 구비하고 있으며, 패스박스(210) 내부의 불활성가스분위기 중에서 개폐용 에어실린더(235)에 의해 좌우의 클램프아암(236)이 접근하여 수납용기(209)의 박스본체(212)를 좌우에서 잡고, 그대로 승강용 에어실린더(234)에 의해 박스본체(212)를 위쪽으로 들어 올림으로써 하단의 덮개(214)와 떨어져 개방하도록 되어 있다.
또, 이 덮개제거기구(233)는, 상기 좌우 한쌍의 클램프아암(236)의 하단에 돌출편형태의 밸브조작부(237)를 가지며, 상기 덮개 개방시에 좌우의 클램프아암(236)에 수납용기(209)의 박스본체(212)를 잡음과 동시에, 그 밸브조작부(237)가 박스본체(212)의 폐쇄된 불활성가스 통기밸브(215)를 밀어서 열고, 수납용기(209)의 내부를 적당한 부압력상태에서 패스박스(210) 내부와 동일 기압으로함으로써, 박스본체(212)에 대한 덮개(214)의 흡착 및 해제를 행할 수 있도록 되어있다.
한편, 처리장치본체(201) 내부의 상반부 처리실(206)의 내부에는 종형의 프로세스용기(241)가 장착되어 있다. 이 프로세스용기(241)는 예를들면 석영 등에 의해 형성된 프로세스튜브 등으로 호칭되는 가열로이고, 단면이 역 U자형상 용기, 즉 상단이 폐색된 종형의 대략 원통형상을 이룬다. 이 프로세스용기(241)의 바깥둘레를 에워싸도록 히터(242)가 설치되어 있고, 또 그 주위에는 냉각파이프나 단열부재 등이 조립된 보호커버(243)가 덮여져 있다. 또 이 프로세스용기(241)의 개구 하단에는매니포울드(244)가 접속되어 있다. 이 매니포울드(244)는 상하 플랜지가 부착된 사각형태의 원통체 형상으로서, 제20도에 나타낸 바와 같이 상기 둘레벽에 프로세스용기(241) 내부의 가스를 배기하는 오토댐퍼(245a)가 부착된 배기판(245)이 접속되고, 그 앞끝단이 처리장치본체(201)의 바깥으로 도출되어 공장배기장치(230)에 접속되어 있다. 또 이 매니포울드(244)를 통하여 프로세스용기(241) 내부에 새로운 가스를 도입하는 가스도입관(246)이 설치되어 있다. 이 가스도입관(246)은 앞끝단은 처리장치본체(201)의 바깥으로 도출되고, 제23도에 나타낸 바와 같이 자동전환밸브(247)를 통해 소정의 프로세스가스(처리가스) 공급장치(248)와 불활성가스 공급장치(228)에 접속되어 교대로 프로세스 가스와 불활성가스로서의 N2가스를 프로세스용기(241) 내부로 도입할 수 있도록 되어 있다.
또한, 상기 프로세스용기(241) 하부의 매니포울드(244)의 주위에는, 상기격벽(207)과 이 아래면에 고정된 각이진 접시형상의 케이스(251a)에 의해, 고리형태의 스캐빈저(251)가 구성되며, 이 스캐빈저(251) 내로부터 프로세스용기(241) 하부 주위에 체류하는 열이나 불필요한 가스를 항상 배출하는 열배기관(252)이 도출되고, 이 앞끝단이 장치본체(201) 바깥으로 도출되어 공장배기장치(230)에 접속되어 있다. 또 이 열배기관(252)의 도중에는 오토댐퍼(254a) 부착된 분기관(254)이 처리실(206) 내부에 위치하여 설치되어 있다.
또, 상기 스캐빈저(251)의 케이스(251a) 바닥 중앙부가 상기 매니포울드(244) 하단과 연이어 통하는 형태로 개구되어 프로세스용기(241)의 로입구(241a)를 구성하고 있다. 이 로입구(241a)의 아래면쪽에서 0-링을 통하여 기밀상태로 폐색하는 오토셔터(256)가 상기 로딩실(208) 내부에 설치되어 있다. 이 오토셔터(256)는 개폐구동구(257)에 의해 상하운동과 횡방향의 운동을 행하여 로입구(241a)를 개폐한다.
상기 로딩실(208) 내부에는 상기 처리실(206)의 프로세스용기(241) 내부에 반도체웨이퍼(205)를 끼우고 빼내는 로딩기구로서의 웨이퍼보트 (261)와 보트 엘리베이터(262)가 설치되어 있다.
이 웨이퍼보트(261)는 석영제의 세로로 긴 형태인 것을 다수매의 반도체웨이퍼(205)를 각각 수평상태에서 상하로 간격을 두어 다단으로 수납 유지한다.
이 웨이퍼보트(261)가 보트 엘리베이터(262)에 의해 상기 프로세스용기(241)의 로입구(241a)의 정확히 바로 아래에 수직상태로 지지되어 있다. 이 웨이퍼보트(261)가 다수매의 반도체웨이퍼(205)를 수납한 상태에서 상기 셔터(256)의 개방에 따라 보트 엘리베이터(262)에 의해 상승하여 프로세스용기(241) 내부로 삽입되거나 반대로 프로세스용기(241) 내부에서 하강하여 인출되거나 한다. 또 이 웨이퍼보트(261)가 상승하여 프로세스용기(241) 내부로 삽입되었을 때 이 웨이퍼보트(261) 하부의 플랜지(261a)가 로입구(241a)를 상기 오토셔터(256) 대신에 폐색하여 프로세스용기(241) 내부를 밀폐상태로 할 수 있다.
또, 로딩실(208) 내부의 웨이퍼보트(261)와 상기 패스박스(210)의 사이에는, 피처리물을 장치본체 안쪽으로 이송시키는 이동수단으로서 옮겨싣는기구(웨이퍼 트랜스퍼)(263)가 옮겨싣기용 엘리베이터(264)에 승강가능하도록 지지되어 있다. 이 옮겨싣는기구(263)는 상기 패스박스(210) 안쪽의 오토도어(221)가 닫히면, 그 패스박스(210) 내부에 위치하는 개방상태의 수납용기(209) 내부의 카세트(211) 중에서 반도체웨이퍼(205)를 한매씩 취출하여 로딩실(208)의 웨이퍼보트(261)에 수납하거나 반대로 웨이퍼보트(261)로부터 반도체웨이퍼(205)를 카세트(211)로 되돌리거나 하는 동작을 행한다.
그리고, 상기 장치본체(201) 내의 로딩실(208) 내부를 양압의 불활성가스분위기로 치환, 유지하기 위하여 가스급배수단으로서의 가스도입관(271)과 배기관(272)이 구비되어 있다. 이 가스도입관(271)은 후술하는 가스 순환 냉각화시스템으로서 처리장치본체(201)의 바닥 아래에 형성된 리턴경로(281) 도중에 송풍팬(282)의 1차측(흡입측)에 접속되어 있다. 이 가스 도입관(271)은 처리장치본체(201) 바깥으로 도출되고, 제23도에 나타낸 바와 같이 유량조정밸브(273) 및 레귤레이터(274)를 통하여 불활성가스 공급장치(228)에 접속되어, 불활성가스로서 N2가스를 상기 리턴경로(281)를 통하여 로딩실(208) 내부로 도입할 수 있도록 되어 있다. 배기관(272)은 로딩실(208) 내부의 하류쪽에서 도출되고, 도중에 오토댐퍼(272a)를 구비하여 상술한 공장배기장치(230)에 접속되어 있다.
로딩실(208) 내부의 초기 치환시에는, 상기 오토댐퍼(272a)가 열리고, 공장배기장치(230)에 의해 로딩실(208) 내부의 배기를 행함과 동시에, N2가스를 200∼400리터/min 정도로 도입한다. 이 치환후의 정상시에는 N2가스 도입양을 50리터/min 정도로 하여 배기관(272)의 오토댐퍼(272a)는 닫고, 로딩실(208)의 간극배기 또는 압력조정 댐퍼에 의해 그 로딩실(208) 내부를 적정한 양압의 N2가스분위기로 유지하도록 되어있다. 또 그 간극배기에 의해 누설가스는 상기 스캐빈저(251)의 열배기관(252)의 오토댐퍼(254a)가 부착된 분기관(254)으로 배기된다.
가스순환 냉각화시스템은 반도체웨이퍼(205)의 처리작업을 반복하여도 로딩실(208)의 N2가스분위기를 고순도로 유지함과 동시에 이상 온도상승을 방지한다. 이 시스템구성으로서 먼저 로딩실(213) 내부의 N2가스를 일차 시스템 바깥으로 취출하고, 이것을 정화, 냉각한 후에 다시 로딩실(208)내부를 환류시키는 리턴경로(281)가 상기 처리장치본체(201)의 바닥 아래에 마련된다. 그 도중에 송풍팬(282)이 설치됨과 동시에 이 송풍팬(282)의 2차측 가스정화기(283)가 설치되고, 또 그 2차측에 가스냉각기(284)가 설치되어 있다.
또, 상기 가스정화기(283)는 가스형태의 분순물(수분, 산소, 산화수소, 그외)을 흡입하는 지르코니아 등의 금속게터를 용도에 따라 교환할 수 있도록 내장한 케미칼용 필터이다. 또 가스냉각기(284)는 물이 흐르는 냉각파이프에 방열 필터를 설치한 라디에이터 형식이기 때문에, 2차측 흡출가스 온도가 50℃이하로 되도록 한 냉각능력을 가진다.
이와 같은 리턴경로(281)로부터 N2가스를 받아들여 로딩실(208) 내부로 취출하는 필터(285)가 그 로딩실(208) 내의 한쪽 면부에 설치되어 있다. 이 필터 (285)는 세로형태의 ULPA급의 앱소류트 필터이고, N2가스중의 미립자형태의 불순물(먼지 등의 파티클)을 여과하여 수집함과 동시에 그 N2가스를 로딩실(208) 내부에 1차측으로부터 수평층 흐름상태로 취출한다. 또 그 N2가스의 수평층 흐름상태를 보다 확실한 것으로 하기 위하여, 로딩실(208) 내부의 다른쪽면에 다수의 구멍이 형성된 정류판(286)을 통하여 그 이면공간(287)으로부터 N2가스가 상기 리턴경로(281)로 흡인되어 도통하도록 되어 있다.
또한, 상기 로딩실(208) 내의 상부에는 가스샤워기구(290)가 설치되어있다. 이 가스샤워기구(290)는 제23도에 나타낸 바와 같이 밸브(291) 및 레귤레이터(227)를 통해 불활성가스공급장치(228)에 접속된 가스도입관(292)과, 이 가스도입관(292)의 앞끝단에 접속되고 상기 스캐빈저(251)의 케이스(251a) 아래면에 브라켓트(293)를 통하여 고정된 특수노즐(294)을 구비하고 있다.
이 특수노즐(294)은 평평하고, 직경 200mm 웨이퍼의 전체 영역을 커버할 수 있는 치수와 가능한 한 런닝(보조주행) 거리를 길게 한 형태로 상기 웨이퍼보트(261)를 프로세스용기(241)에 끼우고 데기(로드,언로드)할 때 N2가스를 50∼100리터/min 정도로 상기 프로세스용기(241)의 로입구(241a)의 아래쪽 근처 바로 옆에서 수평으로 흘러, 상기 ULPA필터(285)에서 취출되는 수평층보다 빠른 풍속(0.75m/sec)으로 불고, 이 N2가스샤워에 의해 그 웨이퍼보트(261)에 다단으로 설치되어 있는 반도체웨이퍼(205) 상호간의 O2등의 불순물이나 열기를 취출하도록 되어 있다.
또 , 제23도에 나타낸 바와 같이 로딩실(208) 내부와 패스박스(210) 내부의 산소농도 검지수단으로서의 가스도통배관(311과 312)이 설치되고, 이들이 공통의 1개의 산소농도계(O2센서)(313)에 접속되어 있다. 이 산소농도계(313)는 3방향 전환밸브와 가스 도출펌프를 내장한 것이므로, 상기 로딩실(208) 내부와 패스박스(210) 내부의 산소농도가 20ppm 이하인가 아닌가를 측정하도록 되어 있다.
또, 산소농도계(313)로부터의 신호와, 상기 로딩실(208) 내부의 기압을 측정하는 압력센서(314)로부터의 신호를 받는 콘트롤러(315)가 설치되어 있다.
콘트롤러(315)로부터의 제어지령으로 오토댐퍼(229,245a,254a,272a)와, 밸브(226,247,273,291)와, 오토도어(220,221) 및 오토셔터(256)와, 옮겨싣는기구(263)와, 보트 엘리베이터(262) 등의 각 이동부가 각각 자동적으로 시팍스 제어되도록 되어 있다.
이와 같이 구성된 종형 열처리장치 및 수납용기(209)의 작용을 설명하면, 먼저 처리장치본체(201) 내부의 로딩실(208)의 비교적 좁으므로 초기 치환시에는 가스급배수단으로서의 배기관(272)의 오토댐퍼(272a)가 닫히고, 공장배기장치(230)에 의해 배기를 행함과 동시에, 가스도입관(271)으로부터 N2가스를 400리터/min 정도로 도입한다. 이와 같이 하여 비교적 낮은 N2가스공급량으로 대기에서 불활성가스로 손쉽게 치환이 가능하게 된다.
이 치환후의 정상시에는 가스도입관(271)으로부터의 N2가스도입량은 50리터/min정도의 소량으로 보내고, 배기관(272)의 오토댐퍼(272a)는 닫혀, 로딩실(208)의 간극배기 또는 압력조정댐퍼에 의해 로딩실(208) 내부를 적당한 양압[클린룸(202)의 기압에 의해 0.2mmH2O정도 높은 1mmH2O정도]의 N2가스분위기로 유지한다. 또 그 간극배기에 의한 누설가스는 스캐빈저(251)의 열배기관(252)의 오토댐퍼(251a)가 부착된 분기관(254)으로부터 항상 배기된다.
한편, 패스박스(210)에는 가스급배수단으로서의 가스도입관(224)으로부터 항상 50리터/min의 N2가스를 계속 도입함과 동시에, 배기관(225)으로 밀어내도록 배기하면서 그 패스박스(210) 내부를 N2가스 분위로 치환한다. 이와 같은 상태에서, 먼저 피처리물로서의 반도체웨이퍼(205)는 다른 위치의 N2가스분위기 실에서 다수매씩 카세트에 병렬 유지하여 수납하고, 그대로 수납용기(209)의 덮개(241) 상에 얹어놓아 박스본체(212)를 덮고, 또한 통기밸브(215)로부터 내부의 N2가스를 적당하게 불어내어 부압상태로 함으로써 그 박스본체(212)에 대하여 덮개(214)를 흡착유지하여 밀봉상태로 된다. 이와 같이 하여 카세트(211)에 넣어진 반도체웨이퍼(205)를 대기로 노출시키지 않고 수납용기(209)내의 불활성가스분위기 중에 수납한 채로 로보트 등의 적절한 반송수단에 의해 피처리장치로 반송한다.
그 수납용기(209)가 반송되면, 처리장치의 패스박스(210)의 바깥쪽 오토도어(220) 만이 열려 수납용기(209)를 받아 넣는다. 여기서 일시적으로 패스박스(210)내부에 대기가 들어가지만, 항상 바깥쪽의 오토도어(220)가 안쪽의 오토도어(221)와 동일하게 닫히고, 상기 가스도입관(224)으로부터 N2가스 도입량을 증가하게 되므로, 상기 패스박스(210) 내부의 대기 및 수납용기(200) 바깥면에 부착되어 온 불순물을 내몰아 N2가스분위기로 치환한다. 또 상기 패스박스(210)는 용량이 적게 종료하는 것과, 또 수납용기(209)의 외형이 요철의 적은 단순형상으로 종료되므로, 가스도입관 (224)로부터 비교적 적은 양의 가스를 공급하는 것만으로 대기에서 불순물을 확실하게 추출하여 고순도의 불활성가스분위기로 빠르게 치환되도록 한다.
이와 같이 한 후, 덮개 제거기구(233)가 하강동작하고, 그 좌우의 클램프아암(236)에서 수납용기(209)의 박스본체(212)를 잡음과 동시에 밸브조작부(237)에서 항상 폐쇄식의 불활성가스 통기밸브(215)를 눌러 닫고, 수납용기(209) 내부를 부압상태로부터 패스박스(210) 내부와 동일한 기압으로 함으로서, 덮개(214)의 흡착제를 행하고, 그대로 박스본체(212)를 밀어올려 개방한다.
이 시점에서 패스박스(210) 안쪽의 오토도어(221)가 열리고, 이송수단으로서의 옮겨싣는기구(263)가 패스박스(210) 내부로 신장하여, 여기서의 개방상태인 수납용기(209)의 덮개(214)위에 있는 카세트(211) 내부의 반도체웨이퍼(205)를 1매씩 로딩실(208)로 취출하여 웨이퍼보트(261)에 옮겨싣는다.
이 웨이퍼보트(261)로 옮겨실린 다수매의 반도체웨이퍼(205)는, 오토셔터(256)가 열리고, 보트엘리베이터(262)에 의해 웨이퍼보트(261)와 함께 상승하여 처리실(206)의 프로세스용기(241) 내부로 삽입된다. 그리고 하부 플랜지(261a)에서 로입구(241a)를 연 상태에서 프로세스용기(241) 내부의 N2가스분위기가 배기관(254)에 의해 배기됨과 동시에 가스도입관(246)으로부터 프로세스가스가 프로세스용기(241) 내부로 도입되어 히터(242)의 가열에 의해 반도체웨이퍼(205)에 소정의 처리가 실시된다.
그 처리 후에는, 상기와 반대순서로 프로세스용기(241) 내부의 프로세스가스를 배기관(245)으로부터 배기시킴과 동시에, 가스도입관(246)으로부터 N2가스를 공급하여 그 프로세스용기(241) 내부를 로딩실(208) 내부와 동일한 N2가스의 가스분위기로 치환한다. 이와 같이 하여 보트 엘리베이터(262)에 의해 하강하여 웨이퍼보트(261)와 함께 처리가 끝난 반도체웨이퍼(205) 로딩실(208) 내부로 되돌린다. 이 시점에서 재차 패스박스(210) 안쪽의 오토도어(221) 만이 닫히고, 옮겨싣는기구(263)가 이동하여 웨이퍼보트(261) 내부의 처리가 끝난 반도체웨이퍼(205)를 취출하여 패스박스(210) 내의 카세트(211) 내부로 되돌린다.
그리고, 그 패스박스(210) 안쪽의 오토도어(231)가 닫힘과 동시에 덮개제거기구(233)가 하강하여 수납용기(209)의 박스본체(212)를 덮개(214) 상에 닫는다. 이 시점에서는 밸브조작부(237)에서 불활성가스 통기밸브(215)를 닫은 채로 하고, 이 상태에서 배기관(225)으로부터 오토댐퍼(229)를 통하여 흡인플로어에 의해 패스박스(210) 내부의 N2가스를 흡출하여, 상기 패스박스(210) 및 수납용기(209) 내부가 적당히 부압력상태(기압 760mmH2O 정도)로 된다.
이렇게 함으로써, 상기 덮개제거기구(233)가 박스본체(212)를 개방하여 상승하고, 통개밸브(215)를 닫아 다시 패스박스(210) 내부를 상압으로 되돌린다.
이렇게 하여 수납용기(209) 내부가 주위보다 부압상태가 있기 때문에, 이 박스본체(212)에 덮개(214)가 흡착유지되어 폐색상태를 유지하게 된다.
이 상태에서, 상기 패스박스(210) 바깥쪽의 오토도어(220) 만이 열리고, 수납용기(209)가 내부의 N2가스분위기 중에 처리가 끝난 반도체웨이퍼(205)를 카세트(211)를 통하여 수납한 채, 적절한 반송수단에 의해 외부로 꺼내어, 다음의 처리공정 등으로 반출되게 한다.
이상과 같이, 반도체웨이퍼(205)를 대기에 노출시키지 않고 불활성가스분위기 중에서 외부로부터 처리장치에 반입 및 반출시킬 수 있고, 대기(O2)나 가스형태 불순물이나 입자형태 불순물(파티클)이 반도체웨이퍼(205)에 부착되거나 처리장치 내부로 침입하는 것을 간단하고 또 확실하게 방지할 수 있게 되며, 전체적으로 불활성가스의 소비량이 적어져서, 경비절감이 가능해짐과 동시에, 로딩실(209) 내부를 양압으로 고순도의 불활성가스분위기로 유지할 수 있고, 반도체웨이퍼(205)의 프로세스용기(241) 내부로의 로드/언로드시에 자연산화막의 발생이나, 반도체웨이퍼(205)로의 불순물의 부착이나 화학반응을 억제하는 것에 크게 기여하게 된다.
또한, 전술한 반도체웨이퍼 처리작업을 반복함에 따라 로딩실(208) 내의 N2가스분위기 중에 카본 등의 가스형태 불순물이 발생한다거나, 오일 불순물이나 먼지 등의 입자형태 불순물(파티클)이 발생한다거나, 로입구(241a)의 개방에 의한 프로세스용기(241) 내부로부터의 열기의 방출이나, 고온(1000℃ 정도)으로 가열된 처리가 끝난 반도체웨이퍼(205)로부터의 복사열 등에 의해 로딩실(208) 내부의 N2가스분위기가 승온할 가능성이 있다.
그러나, 퍼지가스로서 청정한 N2가스를 전술한 바와 같이 가스도입관(271)으로보터 공급을 계속하는 한편, 가스순환 냉각시스템이 끊임없이 가동하고, 그 로딩실(208) 내부의 N2가스를 불순물과 함게 송풍팬(282)의 작용에 의해 정류판(286)을 통하여 리턴경로(281)로 도통하고, 이것을 가스정화기(283)에 의해 가스형태 불순물(수분, 산소, 탄화수소, 기타)을 흡수함과 동시에, 그 N2가스 냉각기(284)를 통하여 50℃ 이하로 되도록 냉각하며, 다시 ULPA급의 앱소류트 필터(285)에 의해 N2가스 중의 미립자 형상물(먼지 등의 파티클)을 여과포집함과 동시에, 그 N2가스를 로딩실(208) 내부의 일측으로부터 수평층류 상태로 취출하여 환류시킴으로써, 이 로딩실(208) 내부의 N2가스분위기가 고순도로 유지됨과 동시예, 이상온도 상승이 방지된다.
그리고, 웨이퍼보트(261)의 프로세스용기(241)로의 부착 및 해체(로드/언로드)시, 가스샤워기구(290)가 가동하여, 특수노즐(294)로부터 N2가스가 고속으로 도입구(241a) 하측근방의 횡방향에서 수평류로 하여 취출되고, 이 N2가스샤워에 의해 상기 웨이퍼보트(261)에 다단으로 배치하여 수평으로 유지되어 있는 반도체웨이퍼(205) 상호간의 O2등의 불순물이나 열기가 추출된다.
이렇게 함으로써, 반도체웨이퍼(205)의 프로세스용기(241)로의 부착 및 해체시에, 카본 등의 가스형태 불순물이나 오일 불순물, 먼지 등의 입자형태 불순물(파티클)이 부착한다거나, 화학적 오염을 일으켜서 반도체 소자의 특성이나 수율의 악화를 초래하는 일이 없게 된다.
이어서, 제24도에서 본 발명의 다른 실시예를 설명한다. 또 도면중 상기 제19도 내지 제23도에 나타낸 구성과 중복된 것에는 동일부호를 부여하여 설명의 간략화를 도모한다.
이 실시예에서는, 종형 열처리장치의 처리장치본체(201)가 전술한 실시예보다 전후폭의 칫수가 크게 되고, 내부에 전술한 구성에 더하여 피처리물을 수납한 여러개의 카세트(211)를 대기시켜 둔 카세트 스톡스테이지(320)를 구비한 구성이다.
다시말해, 처리장치본체(201) 내에는 뒤쪽의 대략 상반부가 전술한 바와 같이 피처리물로서의 반도체웨이퍼(205)에 소정의 처리를 행하는 처리실(206)로서 격벽(207)에 의해 구획되고, 이 처리실(206)의 바로 아랫방향이 로딩실(208)로 되어 있는데, 이들 처리실(206) 및 로딩실(208)의 앞쪽이 피처리물 반입출용 영역(I/O영역)(321)으로 되어 있다.
이 피처리물 반입출용 영역(321) 내부의 앞면 부위에상기와 같은 패스박스(210)가 설치되고, 그 뒤쪽에 이송수단으로서의 카세트 트랜스퍼(322)가 엘리베이터(323)를 통하여 승강가능하게 설치되어 있음과 동시에, 그 상반부위에 카세트 스톡스테이지(320)가 설치되어 있다. 이 카세트 스톡스테이지(320)는 다단(예를들면 상하 4단)으로 카세트 보관선반(320a)을 가진 구성으로, 상기 패스박스(210) 내부에서 반도체웨이퍼(205)가 카세트(211)마다 카세트 트랜스퍼(322)에 의해 도입되어 놓여지도록 되어 있다.
또, 이 카세트 스톡스테이지(320)의 바로 아래에는 옮겨싣기용 스테이지(324)가 적당한 높이의 스탠드(325)에 지지되어 2단으로 배치되고, 각각의 상면에 상기 카세트 스톡스테이지(320)로부터 카세트(211)를 카세트 트랜스퍼(322)에서 꺼내어 1개씩 놓도록 되어 있다. 이 옮겨싣기용 스테이지(324) 상에 놓여진 카세트(211) 내부의 다수 매의 반도체웨이퍼(205)를 전술한 바와 같은 옮겨싣는기구(웨이퍼트랜스퍼)(263)가 승강하면서 1매씩 꺼내어 로딩실(208)의 웨이퍼보트(261)로 이송하여 보관하거나, 그 반대로 웨이퍼보트(261)에서 처리가 끝난 반도체웨이퍼(205)를 옮겨싣기용 스테이지(324) 상의 카세트(211) 내로 되돌릴 수 있도록 되어 있다.
그 이외의 구성은 전술한 제19도 내지 제 23도에 나타낸 실시예와 같다.
또한, 제19도 내지 제24도에서, 수납용기(209)의 박스본체(212)에 통기밸브(215)를 설치하고, 이 통기밸브(215)를 통하여 내부를 부압(감압)시키는 것에 의해, 덮개(214)를 박스본체(212)에 융착유지시켜 폐색상태를 유지하는 구성, 즉 진공방식으로 하였으나, 그 덮개(214)를 도시하지 않은 기계적인 클램프 기구 혹은 전자석에 의한 흡착방식으로 박스본체(212)에 대하여 개폐가능하도록 폐색상태를 유지하는 구성으로 하여도 좋다. 이렇게 함으로써 전술한 통기밸브(215)를 생략할 수 있다.
이어서, 제25도에 의해 본 발명의 또 다른 실시예를 설명한다. 이 실시예에서는 수납용기(209)를 패스박스를 이용하여 전술한 처리장치에 설치되어 있던 패스박스를 생략한 예이다.
다시말해, 제25도는 제19도와 같은 종형 열처리장치의 처리장치본체(201)의 하우징패널(201a)의 전면 패널부분을 크게 한 단면도로서, 패스박스(210)는 생략되어 있다. 그 대신에 처리장치본체(201)의 전면 패널부에서는, 피처리물 반입출구(330)가 형성되어 있는 동시에, 이 바깥면 아래쪽에 박스유지기구(331)가 설치되고, 내면쪽에는 상기 반출입구(330)를 안쪽에서 막는 덮개제거기구(340)가 설치되어 있다.
상기 박스유지기구(331)는, 처리장치본체(201)의 전면 패널부 바깥면에 하단을 힌지(332)를 통하여 회전가능하게 부착된 에어실린더(333)와, 이 에어실린더(333)의 피스톤로드 상단에 지지되고, 처리장치본체(201)의 바깥면부의 힌지(334)를 지지점으로 상하로 회전운동이 가능한 지지아암(335)을 구비하고 있다.
그리고 바깥쪽으로부터 적절한 수단에 의해 반송되어 오는 수납용기(209)를 상기지지아암(335)에 의해 받아서, 수납용기(209)의 뒤쪽을 반입출구(330)에 기밀상태로 접합유지시키는 동작을 이룬다.
또한, 이 실시예에 사용한 수납용기(209)는, 뒤끝단면부를 개방하여 피처리물로서의 다수매의 반도체웨이퍼(205)를 병렬유지한 카세트(211)를 수납할 수 있는 횡방향의 박스본체(212)와, 이 박스본체(212)의 후단 플랜지(212a)가 달린 개방면에 시일재(213)를 통하여 접합된 덮개(214)와, 이 덮개(214)의 중앙부에는 평상시에 폐쇄상태로 설치된 불활성가스 통기밸브(215)를 구비하여 이루어진 구성이고, 박스유지기구(331)에 의해 박스본체(212)의 후단 플랜지(212a)가 처리장치본체(221)의 전면 패널부의 반출입구(330) 테두리부에 시일재(336)를 통하여 기밀상태로 접합유지되고, 이 때 후면의 덮개(214)는 반입출입구(330) 내부로 수납되도록 되어 있다.
한편, 덮개제거기구(340)는, 처리장치본체(201) 전면패널 내면에 상단이 한지(341)를 통하여 회전할 수 있도록 부착된 에어실린더(342)와, 이 에어실린더(342)의 피스톤로드 하단에 지지되고, 처리장치본체(201) 내면부의 힌지(343)를 지지점으로 상하로 회전운동이 가능한 지지아암(344)과, 이 지지아암(344)에 고정되고 평상시는 상기 반출입구(330)의 테두리에 안쪽으로부터 시일재(345)를 통하여 기밀하게 폐색하는 스프링식의 도어(346)를 구비하고 있다.
또, 이 덮개제거기구(340)의 스프링식 도어(346)에는, 이 전면 중앙부에 볼록부(347)가 일체적으로 형성되고, 이 볼록부(347)가 상기 반출입구(330) 내부에 수납되는 수납용기(209)의 덮개(214)의 통기밸브(215)를 눌러서 연다. 또 도어(346)에는 전자밸브(348)가 설치되어, 상기 통기밸브(215)가 열리면 열림동작을 행하여,수납용기(209) 내부와 처리장치본체(201) 내부를 통하게 한 상태로 하여 서로 기압차를 없게 하도록 되어 있다. 또 상기 도어(346)의 볼록부(347)전면에 흡반부(349)와 진공흡인노즐(350)이 설치되고, 이 노즐(350)이 호스(351)를 통하여 흡인블로어 등에 접속되어 있다.
이 실시예의 작용을 설명하면, 전술한 바와 같이, 수납용기(209) 내부에 피처리물로서의 다수 매의 반도체웨이퍼(205)를 카세트(211)를 통하여 수납함과 동시에, 이 수납용기(209) 내부를 불활성가스(예를들면 N2가스) 분위기의 적당한 부합상태로 하여, 덮개(214)를 박스본체(212)에 흡착유지하여 밀봉상태로 한다.
이 상태에서 수납용기(209)가 적절한 반송수단에 의해 반송되어 오면, 이 수납용기(209)를 박스유지기구(331)의 지지아암(335)에서 받고, 그대로 에어실린더(333)의 누름회동에 의해 제25도에 나타낸 바와같이 수납용기(209)의 후단 플랜지(212a)를 처리장치본체(201)의 피처리물 반출입구(330)에 기밀상태로 직접 접합시킨다.
이것으로 수납용기(209)의 덮개(214)가 반출입구(330) 내부로 들어 옴으로써, 거기까지 이 반출입구(330)를 안 쪽으로부터 막고 있던 덮개제거기구(340)의 볼록부(347)에 통기밸브(215)가 눌려서 열린다. 이와 동시에 전자밸브(348)가 열려, 수납용기(209) 내부와 처리장치본체(201) 내부를 통하게 한 상태로 하여 서로의 기압차를 없게 한다. 또한 상기 도어(346)의 볼록부(347) 전면의 흡반부(349)가 덮개(214)에 접합됨과 동시에, 진공흡인노즐(350)으로부터의 흡인에 의해 덮개(214)를 진공척킹한다.
이 상태에서, 덮개제거기구(340)의 실린더(342)가 위로 동작하고, 지지아암(344)을 통하여 도어(346)와 함께 처리장치본체(201) 안쪽으로 튀어 오르듯이 열린다.
이것으로 수납용기(209)의 박스본체(212) 내부와 처리장치본체(201) 내부가 대기와 격리된 채 서로 통한 상태로 되고, 그대로 이 박스본체(212) 내의 카세트(211) 내부의 반도체웨이퍼(205)를 전술한 바와 같이 이송수단으로서의 이송기(263)에 의해 처리장치본체(201) 안쪽으로 꺼내고, 제19도에 나타낸 바와 같이 웨이퍼보트로 이송됨과 동시에 처리실로 삽입되어 소정의 처리작업을 행한다.
그 처리가 끝난 반도체웨이퍼(205)는, 상기와 반대의 순서로 꺼내서는 다음의 처리공정 등으로 반송된다.
이로써 피처리물이 대기에 노출되지 않고 불활성 가스분위기 중에서 처리장치에 대하여 반입하거나 반출시킬 수 있고, 대기(O2)나 가스형태의 불순물이나 입자형태 불순물(파티클)이 피처리물에 부착되거나 처리장치 내부로 침입하는 것을 간단하고 또 확실하게 방지할 수 있게 된다. 더구나 이 실시예에서는 전술한 패스박스를 생략할 수 있게 된다.
또, 제25도에 나타낸 실시예의 구성은, 제24도에 나타낸 실시예의 카세트 스톡스테이지를 구비한 처리장치에도 같이 적용할 수 있다. 또 제25도에 나타낸 실시예에서도, 수납용기(209)의 통기밸브(215)를 없게 하여 덮개(214)를 기계적인 클램프기구 혹은 전자석에 의한 흡착방식으로 박스본체(212)에 대하여 개폐가능하도록 폐색상태를 유지하는 구성으로 함과 동시에, 덮개제거기구(340)에서도 덮개(214)를 흡반부에서 진공척킹하여 덮개의 취급을 행하는 이외에, 기계적인 클램프기구 또는 전자석에 의한 흡착방식으로 덮개(214)를 잡고 도어(346)와 함께 개폐시키는 구성으로 하여도 좋다.
또, 전술한 각 실시예에서는 피처리물로서 반도체웨이퍼(205)에 절연막을 생성하는 산화장치 또는 ,CVD장치로서 이용되는 종형 열처리장치를 예시하였으나, 피처리물의 종류나 처리의 종류는 특히 한정되는 것은 아니고, 다른 종류의 처리를 행하는 처리장치에도 좋은 것은 물론이다. 이들 처리의 종류에 따라 전술한 N2가스 이외의 불활성가스를 공급받도록 하여도 좋다.
이상에서 설명한 바와 같이, 본 발명의 피처리물 반송박스 및 처리장치를 사용하면, 반도체웨이퍼 등의 피처리물을 대기에 노출시키지 않고 불활성 가스분위기 중에서 처리장치에 대하여 반입하거나 반출시킬 수 있으며, 대기(O2)나 가스형태의 불순물이나 입자형상 불순물(파티클)이 피처리물에부착되거나 처리장치 내부로 침입하는 것을 방지할 수 있고, 불활성가스 소비량의 절감이나 피처리물의 처리실로의 로드/언로드시의 자연산화막의 발생 및 피처리물로의 불순물의 부착이나 화학반응을 억제하는 것에 크게 기여하며, 매우 경제적이고 고성능화를 도모할 수 있는 효과가 얻어진다.

Claims (6)

  1. 유지체에 수납된 피처리체에 소정의 처리를 행하는 처리실과, 이 처리실에 대하여 상기 피처리체가 수납된 유지체를 끼우고 빼내는 이송기구를 가지는 로딩실과, 이 로딩실에 대하여, 카세트 내에 수납된 피처리제를 반입 및 반출시키는 반출입실과, 이 반출입실과 외부를 연이어 통하게 하고, 외부로부터 반송됨과 동시에 상기 카세트를 외기 분위기와 밀폐격리하여 수납하는 카세트 수납용기를 얹어놓는 카세트 수납용기용 포트와, 상기 반출입 내에 설치되고, 상기 카세트 수납용기용 포트에 얹어놓인 카세트 수납용기 내로부터 상기 카세트를 내부로 도입하는 카세트 도입기구와, 상기 반출입실 내부에 설치되고, 카세트 도입기구에 의해 도입된 카세트에 대하여 청정기체를 취출하는 청정기체 분출수단이 구비된 것을 특징으로 하는 처리장치.
  2. 유지체에 수납된 피처리체에 소정의 처리를 행하는 처리실과, 이 처리실에 대하여 상기 피처리체가 수납된 유지체를 끼우고 빼내는 이송기구를 가지는 로딩실과, 이 로딩실에 대하여, 카세트 내부에 수납된 피처리제를 반입 및 반출시키는 반출입실과, 이 반출입실과 외부를 연이어 통하게 하고, 외부로부터 반송됨과 동시에 상기 카세트를 외기 분위기와 밀폐격리하여 수납하는 카세트 수납용기를 얹어놓는 카세트 수납용기용 포트와, 상기 반출입실 내에 설치되어, 상기 카세트 수납용기용 포트에 얹어놓인 카세트 수납용기를 내부로 도입하는 도입수단과, 상기 반출입실과 상기 로딩실의 사이에 설치되어, 상기 카세트 수납용기 내부의 카세트를 취출하는 카세트 취출스테이지와, 상기 반출입실 내에 설치되어, 도입수단에 의해 도입된 카세트 수납용기를 카세트 취출스테이지까지 옮겨싣는 용기 옮겨싣기수단을 구비한 것을 특징으로 하는 처리장치.
  3. 제1항에 있어서, 로딩실 내부에는 청정공기가 순환되고, 로딩실은 반출입실보다 분위기 압력이 높게 유지되어 있는 것을 특징으로 하는 처리장치.
  4. 유지체에 수납된 피처리체에 소정의 처리를 행하는 처리실과, 이 처리실에 대하여 상기 피처리체가 수납된 유지체를 상기 처리실측으로 끼우고 빼내는 로딩실과, 외부로부터 반송되고, 본체와 덮개를 가짐과 동시에 상기 피처리체가 밀폐상태로 수납되는 수납용기를 일시적으로 수납하는 패스박스와, 이 패스박스 내부를 불활성가스로 치환하는 가스급배수단과, 상기 패스박스 내부에 설치되어, 상기 수납용기의 덮개를 본체로부터 제거하는 덮개제거기구와, 상기 수납용기 내부의 피처리제를 상기 로딩실측으로 이송시키는 이송수단을 구비하는 것을 특징으로 하는 처리장치.
  5. 제4항에 있어서, 수납용기는 통기밸브를 가짐과 동시에 내부가 감압되어 있으며, 상기 덮개기구제거기구는 상기 통기밸브를 열도록 하는 밸브조작부와, 본체를 파지하여 덮개와 본체를 분리하는 수단을 가지는 것을 특징으로 하는 처리장치.
  6. 제4항에 있어서, 로딩실 상부에는, 유지체 내부의 피처리체에 대하여 N2가스를 취출하는 노즐이 설치되어 있는 것을 특징으로 하는 처리장치.
KR1019940007724A 1993-04-13 1994-04-13 처리장치 KR100221983B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP93-86339 1993-04-13
JP8633993A JP3372585B2 (ja) 1993-04-13 1993-04-13 処理装置
JP33952993A JP3543987B2 (ja) 1993-12-03 1993-12-03 処理装置
JP93-339529 1993-12-03

Publications (1)

Publication Number Publication Date
KR100221983B1 true KR100221983B1 (ko) 1999-09-15

Family

ID=26427480

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940007724A KR100221983B1 (ko) 1993-04-13 1994-04-13 처리장치

Country Status (2)

Country Link
US (2) US5562383A (ko)
KR (1) KR100221983B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101050632B1 (ko) * 2002-08-31 2011-07-19 어플라이드 머티어리얼스, 인코포레이티드 도어 래칭 및 기판 클램핑 매커니즘들을 갖는 기판 캐리어
KR101058326B1 (ko) * 2006-06-26 2011-08-22 어플라이드 머티어리얼스, 인코포레이티드 원자층 증착 및 화학기상 증착을 위한 배치 프로세싱 플랫폼
KR101231231B1 (ko) * 2012-03-14 2013-02-07 유정호 풉 오프너

Families Citing this family (388)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
USRE39824E1 (en) * 1990-08-29 2007-09-11 Hitachi, Ltd. Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors
US5544421A (en) * 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US6833035B1 (en) 1994-04-28 2004-12-21 Semitool, Inc. Semiconductor processing system with wafer container docking and loading station
US6447232B1 (en) * 1994-04-28 2002-09-10 Semitool, Inc. Semiconductor wafer processing apparatus having improved wafer input/output handling system
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
US6799932B2 (en) 1994-04-28 2004-10-05 Semitool, Inc. Semiconductor wafer processing apparatus
AU2368495A (en) * 1994-04-28 1995-11-29 Semitool, Incorporated Semiconductor processing system with wafer container docking and loading station
JP3239977B2 (ja) * 1994-05-12 2001-12-17 株式会社日立国際電気 半導体製造装置
TW295677B (ko) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JPH08213446A (ja) * 1994-12-08 1996-08-20 Tokyo Electron Ltd 処理装置
US5984610A (en) * 1995-03-07 1999-11-16 Fortrend Engineering Corporation Pod loader interface
DE59611078D1 (de) * 1995-03-28 2004-10-14 Brooks Automation Gmbh Be- und Entladestation für Halbleiterbearbeitungsanlagen
JPH0945597A (ja) * 1995-05-25 1997-02-14 Kokusai Electric Co Ltd 半導体製造装置及びロードロック室酸素濃度の制御方法及び自然酸化膜の生成方法
JP3478364B2 (ja) * 1995-06-15 2003-12-15 株式会社日立国際電気 半導体製造装置
US5788458A (en) * 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
JP3796782B2 (ja) * 1995-11-13 2006-07-12 アシスト シンコー株式会社 機械的インターフェイス装置
US6723174B2 (en) 1996-03-26 2004-04-20 Semitool, Inc. Automated semiconductor processing system
US6942738B1 (en) 1996-07-15 2005-09-13 Semitool, Inc. Automated semiconductor processing system
KR100219406B1 (ko) * 1996-04-04 1999-09-01 윤종용 화학기상증착설비의 웨이퍼로딩실 공기흐름 안내장치
US5980195A (en) * 1996-04-24 1999-11-09 Tokyo Electron, Ltd. Positioning apparatus for substrates to be processed
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US5674039A (en) * 1996-07-12 1997-10-07 Fusion Systems Corporation System for transferring articles between controlled environments
US6645355B2 (en) 1996-07-15 2003-11-11 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6091498A (en) 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US5827118A (en) * 1996-08-28 1998-10-27 Seh America, Inc. Clean storage unit air flow system
TW344847B (en) * 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
JP3202929B2 (ja) * 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
US6048154A (en) 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5964561A (en) * 1996-12-11 1999-10-12 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
US5957648A (en) * 1996-12-11 1999-09-28 Applied Materials, Inc. Factory automation apparatus and method for handling, moving and storing semiconductor wafer carriers
US6540466B2 (en) 1996-12-11 2003-04-01 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
JP3192988B2 (ja) 1997-02-28 2001-07-30 株式会社日立国際電気 半導体製造装置
NL1005410C2 (nl) * 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
JP3270730B2 (ja) 1997-03-21 2002-04-02 株式会社日立国際電気 基板処理装置及び基板処理方法
US6068668A (en) * 1997-03-31 2000-05-30 Motorola, Inc. Process for forming a semiconductor device
US5980183A (en) * 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US5803696A (en) * 1997-05-16 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Safety interlock device for a standard manufacturing interface arm and equipment
US6390754B2 (en) * 1997-05-21 2002-05-21 Tokyo Electron Limited Wafer processing apparatus, method of operating the same and wafer detecting system
JP2973971B2 (ja) * 1997-06-05 1999-11-08 日本電気株式会社 熱処理装置及び薄膜の形成方法
JP3425592B2 (ja) * 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
US6183186B1 (en) * 1997-08-29 2001-02-06 Daitron, Inc. Wafer handling system and method
US6082951A (en) * 1998-01-23 2000-07-04 Applied Materials, Inc. Wafer cassette load station
NL1008143C2 (nl) * 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
US6106213A (en) 1998-02-27 2000-08-22 Pri Automation, Inc. Automated door assembly for use in semiconductor wafer manufacturing
JP3656701B2 (ja) * 1998-03-23 2005-06-08 東京エレクトロン株式会社 処理装置
JPH11288991A (ja) * 1998-04-03 1999-10-19 Shinko Electric Co Ltd ロードポート
US6079927A (en) * 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
KR20010014319A (ko) 1998-05-01 2001-02-26 히가시 데츠로 막 두께 측정 장치, 기판 처리 방법 및 기판 처리 장치
EP1136141A4 (en) * 1998-05-13 2002-09-11 Houei Syoukai Co Ltd TREATMENT DEVICE, TREATMENT METHOD AND METHOD FOR TREATING FLOORS
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
US6261044B1 (en) * 1998-08-06 2001-07-17 Asyst Technologies, Inc. Pod to port door retention and evacuation system
KR100646906B1 (ko) * 1998-09-22 2006-11-17 동경 엘렉트론 주식회사 기판처리장치 및 기판처리방법
NL1010317C2 (nl) * 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
IL126866A (en) * 1998-11-02 2003-02-12 Orbotech Ltd Apparatus and method for fabricating flat workpieces
JP3664897B2 (ja) 1998-11-18 2005-06-29 東京エレクトロン株式会社 縦型熱処理装置
US6283692B1 (en) * 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6435330B1 (en) 1998-12-18 2002-08-20 Asyai Technologies, Inc. In/out load port transfer mechanism
US6120229A (en) * 1999-02-01 2000-09-19 Brooks Automation Inc. Substrate carrier as batchloader
US6421584B1 (en) * 1999-03-02 2002-07-16 Scriptpro Llc Independent counting unit
US6249990B1 (en) * 1999-03-23 2001-06-26 Alliedsignal, Inc. Method and apparatus for transporting articles
US6561894B1 (en) 1999-04-19 2003-05-13 Tdk Corporation Clean box, clean transfer method and apparatus therefor
US6168364B1 (en) * 1999-04-19 2001-01-02 Tdk Corporation Vacuum clean box, clean transfer method and apparatus therefor
US6135698A (en) * 1999-04-30 2000-10-24 Asyst Technologies, Inc. Universal tool interface and/or workpiece transfer apparatus for SMIF and open pod applications
DE19921072A1 (de) * 1999-05-08 2000-11-09 Acr Automation In Cleanroom Einrichtung zum Handhaben von Substraten innerhalb und außerhalb eines Reinstarbeitsraumes
US6612797B1 (en) * 1999-05-18 2003-09-02 Asyst Technologies, Inc. Cassette buffering within a minienvironment
WO2001003167A1 (fr) * 1999-07-02 2001-01-11 Tokyo Electron Limited Installation, procede et dispositif de fabrication de semi-conducteurs
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6811369B2 (en) * 1999-09-02 2004-11-02 Canon Kabushiki Kaisha Semiconductor fabrication apparatus, pod carry apparatus, pod carry method, and semiconductor device production method
US6364762B1 (en) * 1999-09-30 2002-04-02 Lam Research Corporation Wafer atmospheric transport module having a controlled mini-environment
US8348583B2 (en) 1999-10-19 2013-01-08 Rorze Corporation Container and loader for substrate
US6662225B1 (en) * 1999-11-16 2003-12-09 Ricoh Company, Ltd. Remote system usage monitoring with flexible packaging of data
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6442867B2 (en) * 2000-01-04 2002-09-03 Texas Instruments Incorporated Apparatus and method for cleaning a vertical furnace pedestal and cap
JP2001217198A (ja) * 2000-02-02 2001-08-10 Mitsubishi Electric Corp 半導体装置の製造方法
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
JP2001284218A (ja) * 2000-03-30 2001-10-12 Canon Inc 保管庫、露光装置、デバイス製造方法、半導体製造工場および露光装置の保守方法
JP2001284276A (ja) * 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 基板処理装置
US6364593B1 (en) 2000-06-06 2002-04-02 Brooks Automation Material transport system
AU2001268656A1 (en) * 2000-07-07 2002-01-21 Semitool, Inc. Automated processing system
TW501194B (en) * 2000-08-23 2002-09-01 Tokyo Electron Ltd Processing system for object to be processed
KR100745867B1 (ko) * 2000-08-23 2007-08-02 동경 엘렉트론 주식회사 수직열처리장치 및 피처리체를 운송하는 방법
KR20020019414A (ko) * 2000-09-05 2002-03-12 엔도 마코토 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
EP1319243A2 (en) 2000-09-15 2003-06-18 Applied Materials, Inc. Double dual slot load lock for process equipment
TW471010B (en) 2000-09-28 2002-01-01 Applied Materials Inc Wafer cleaning equipment
US6690993B2 (en) * 2000-10-12 2004-02-10 R. Foulke Development Company, Llc Reticle storage system
US6609869B2 (en) * 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US6848876B2 (en) * 2001-01-12 2005-02-01 Asyst Technologies, Inc. Workpiece sorter operating with modular bare workpiece stockers and/or closed container stockers
US7118780B2 (en) * 2001-03-16 2006-10-10 Semiconductor Energy Laboratory Co., Ltd. Heat treatment method
US6629813B2 (en) * 2001-04-18 2003-10-07 Taiwan Semiconductor Manufacturing Co., Ltd Microelectronic fabrication tool loading method providing enhanced microelectronic fabrication tool operating efficiency
EP1263022B1 (en) * 2001-05-31 2007-04-25 S.E.S. Company Limited Substrate cleaning system
KR100407568B1 (ko) * 2001-06-01 2003-12-01 삼성전자주식회사 장치설치영역 내에 지지대를 갖는 반도체 제조 장치
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
JP2003124284A (ja) * 2001-10-11 2003-04-25 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
KR100446318B1 (ko) * 2001-11-29 2004-09-01 주식회사 하이닉스반도체 챔버 세정기를 구비한 증착장치 및 그를 이용한 챔버 세정방법
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
JP2003332402A (ja) * 2002-05-10 2003-11-21 Kondo Kogyo Kk ミニエンバライメント方式の半導体製造装置
US20040002299A1 (en) * 2002-06-27 2004-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Ventilation system and method of using
US7258520B2 (en) * 2002-08-31 2007-08-21 Applied Materials, Inc. Methods and apparatus for using substrate carrier movement to actuate substrate carrier door opening/closing
US7243003B2 (en) * 2002-08-31 2007-07-10 Applied Materials, Inc. Substrate carrier handler that unloads substrate carriers directly from a moving conveyor
US7506746B2 (en) 2002-08-31 2009-03-24 Applied Materials, Inc. System for transporting substrate carriers
US7930061B2 (en) 2002-08-31 2011-04-19 Applied Materials, Inc. Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback
US7684895B2 (en) 2002-08-31 2010-03-23 Applied Materials, Inc. Wafer loading station that automatically retracts from a moving conveyor in response to an unscheduled event
US6955197B2 (en) * 2002-08-31 2005-10-18 Applied Materials, Inc. Substrate carrier having door latching and substrate clamping mechanisms
US20040081546A1 (en) * 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US20050095110A1 (en) * 2002-08-31 2005-05-05 Lowrance Robert B. Method and apparatus for unloading substrate carriers from substrate carrier transport system
FR2844258B1 (fr) * 2002-09-06 2005-06-03 Recif Sa Systeme de transport et stockage de conteneurs de plaques de semi-conducteur, et mecanisme de transfert
JP2004103990A (ja) * 2002-09-12 2004-04-02 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
KR100486690B1 (ko) * 2002-11-29 2005-05-03 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
JP3759492B2 (ja) 2002-12-03 2006-03-22 近藤工業株式会社 ミニエンバライメント方式の半導体製造装置
US20040120797A1 (en) * 2002-12-19 2004-06-24 Texas Instruments Incorpprated Method and system for eliminating wafer protrusion
US7077264B2 (en) 2003-01-27 2006-07-18 Applied Material, Inc. Methods and apparatus for transporting substrate carriers
US7221993B2 (en) * 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
US7778721B2 (en) 2003-01-27 2010-08-17 Applied Materials, Inc. Small lot size lithography bays
US7578647B2 (en) 2003-01-27 2009-08-25 Applied Materials, Inc. Load port configurations for small lot size substrate carriers
US7611318B2 (en) 2003-01-27 2009-11-03 Applied Materials, Inc. Overhead transfer flange and support for suspending a substrate carrier
US6869866B1 (en) * 2003-09-22 2005-03-22 International Business Machines Corporation Silicide proximity structures for CMOS device performance improvements
WO2005031851A1 (ja) * 2003-09-25 2005-04-07 Hitachi Kokusai Electric Inc. 基板処理装置及び基板の製造方法
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7218983B2 (en) * 2003-11-06 2007-05-15 Applied Materials, Inc. Method and apparatus for integrating large and small lot electronic device fabrication facilities
US7720557B2 (en) * 2003-11-06 2010-05-18 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
TWI367192B (en) * 2003-11-13 2012-07-01 Applied Materials Inc Calibration of high speed loader to substrate transport system
US20060185793A1 (en) * 2004-01-11 2006-08-24 Tokyo Electron Limited Substrate processing system
FR2865314B1 (fr) * 2004-01-20 2006-04-28 Cit Alcatel Station de controle et de purge de mini-environnement
US7274971B2 (en) 2004-02-28 2007-09-25 Applied Materials, Inc. Methods and apparatus for electronic device manufacturing system monitoring and control
US7177716B2 (en) 2004-02-28 2007-02-13 Applied Materials, Inc. Methods and apparatus for material control system interface
US7413069B2 (en) * 2004-02-28 2008-08-19 Applied Materials, Inc. Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
US20050211276A1 (en) * 2004-03-15 2005-09-29 Applied Materials, Inc. Lid for a semiconductor device processing apparatus and methods for using the same
JP4047826B2 (ja) * 2004-03-25 2008-02-13 東京エレクトロン株式会社 縦型熱処理装置及び移載機構の自動教示方法
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
KR100583730B1 (ko) * 2004-06-29 2006-05-26 삼성전자주식회사 기판 이송 시스템 및 상기 시스템의 프레임 내 압력을조절하는 방법
KR100626386B1 (ko) * 2004-09-20 2006-09-20 삼성전자주식회사 반도체 기판 제조에 사용되는 기판 처리 장치 및 기판처리 방법
JP4266197B2 (ja) * 2004-10-19 2009-05-20 東京エレクトロン株式会社 縦型熱処理装置
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP4580845B2 (ja) * 2005-08-24 2010-11-17 パナソニック株式会社 タスク実行装置
TW200716466A (en) * 2005-09-14 2007-05-01 Applied Materials Inc Methods and apparatus for a transport lift assembly
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
JP4756372B2 (ja) * 2006-09-13 2011-08-24 株式会社ダイフク 基板処理方法
JP4807579B2 (ja) * 2006-09-13 2011-11-02 株式会社ダイフク 基板収納設備及び基板処理設備
US8747052B2 (en) * 2006-11-22 2014-06-10 Beijing Sevenstar Electronics Co., Ltd. Automation for high throughput semiconductor batch-wafer processing equipment
US8128333B2 (en) * 2006-11-27 2012-03-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method for semiconductor devices
US9105673B2 (en) * 2007-05-09 2015-08-11 Brooks Automation, Inc. Side opening unified pod
WO2008151095A2 (en) * 2007-05-30 2008-12-11 Blueshift Technologies, Inc. Vacuum substrate storage
US9177843B2 (en) * 2007-06-06 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing contamination in integrated circuit manufacturing lines
DE102007036900B4 (de) * 2007-08-06 2010-07-01 Khs Ag Verfahren zum Abstapeln oder Stapeln von Gebinden sowie Vorrichtung zum Durchführen dieses Verfahrens
US8043039B2 (en) * 2007-09-20 2011-10-25 Tokyo Electron Limited Substrate treatment apparatus
US8303231B2 (en) * 2007-09-28 2012-11-06 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for semiconductor wafer transfer
JP5050761B2 (ja) * 2007-10-03 2012-10-17 東京エレクトロン株式会社 被処理体の処理システム及び被処理体の熱処理方法
JP2009088437A (ja) * 2007-10-03 2009-04-23 Tokyo Electron Ltd 被処理体の導入ポート機構及び処理システム
WO2009055395A1 (en) 2007-10-22 2009-04-30 Applied Materials, Inc. Methods and apparatus for transporting substrate carriers
DE102007051726A1 (de) * 2007-10-25 2009-04-30 Hänel & Co. Lageranordnung mit vorgebbarer Lagerungsatmosphäre
JP2009266962A (ja) * 2008-04-23 2009-11-12 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US8186927B2 (en) * 2008-05-27 2012-05-29 Tdk Corporation Contained object transfer system
JP5134495B2 (ja) * 2008-10-16 2013-01-30 東京エレクトロン株式会社 処理装置及び処理方法
JP5212165B2 (ja) * 2009-02-20 2013-06-19 東京エレクトロン株式会社 基板処理装置
JP4973675B2 (ja) * 2009-02-26 2012-07-11 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US8827041B2 (en) * 2009-08-05 2014-09-09 Michael E. Brown Carrier car door assembly
JP5562759B2 (ja) * 2009-11-04 2014-07-30 東京エレクトロン株式会社 基板処理装置
KR101219587B1 (ko) * 2010-01-12 2013-01-22 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
US8876453B2 (en) * 2010-01-12 2014-11-04 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
JP5394360B2 (ja) * 2010-03-10 2014-01-22 東京エレクトロン株式会社 縦型熱処理装置およびその冷却方法
JP5516968B2 (ja) * 2010-06-08 2014-06-11 独立行政法人産業技術総合研究所 連結搬送システム
JP5614352B2 (ja) * 2011-03-29 2014-10-29 東京エレクトロン株式会社 ローディングユニット及び処理システム
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2014067744A (ja) * 2012-09-24 2014-04-17 Tokyo Electron Ltd 搬送装置及び処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6044467B2 (ja) * 2013-06-26 2016-12-14 株式会社ダイフク 保管システム
CN110600399B (zh) * 2013-08-12 2023-06-27 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
JP6211938B2 (ja) * 2014-01-27 2017-10-11 東京エレクトロン株式会社 基板熱処理装置、基板熱処理装置の設置方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6374775B2 (ja) * 2014-11-25 2018-08-15 東京エレクトロン株式会社 基板搬送システム及びこれを用いた熱処理装置
CN107004624B (zh) 2014-11-25 2020-06-16 应用材料公司 具有基板载体和净化腔室环境控制的基板处理系统、设备和方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
SG11201800143RA (en) * 2015-08-04 2018-02-27 Hitachi Int Electric Inc Substrate processing device, semiconductor device manufacturing method, and recording medium
JP6505851B2 (ja) * 2015-08-28 2019-04-24 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN110783430A (zh) * 2019-11-06 2020-02-11 上海焕巍智能科技有限公司 一种太阳能硅片导置交换的方法及装置
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023140142A (ja) * 2022-03-22 2023-10-04 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
CN114735625B (zh) * 2022-04-13 2024-04-05 浙江大学杭州国际科创中心 一种非晶碳膜加工用惰性物质植入设备

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6162739A (ja) * 1984-09-03 1986-03-31 Sanki Eng Co Ltd クリ−ントンネル
US4815912A (en) * 1984-12-24 1989-03-28 Asyst Technologies, Inc. Box door actuated retainer
US4676709A (en) * 1985-08-26 1987-06-30 Asyst Technologies Long arm manipulator for standard mechanical interface apparatus
US4687542A (en) * 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4966519A (en) * 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US4739882A (en) * 1986-02-13 1988-04-26 Asyst Technologies Container having disposable liners
US4826360A (en) * 1986-03-10 1989-05-02 Shimizu Construction Co., Ltd. Transfer system in a clean room
US4724874A (en) * 1986-05-01 1988-02-16 Asyst Technologies Sealable transportable container having a particle filtering system
US4904153A (en) * 1986-11-20 1990-02-27 Shimizu Construction Co., Ltd. Transporting robot for semiconductor wafers
JPS63300524A (ja) * 1987-05-29 1988-12-07 Nec Corp ウエ−ハカセット搬送用保持機構
JPH0779092B2 (ja) * 1987-07-27 1995-08-23 松下電子工業株式会社 ボ−トロ−ダ−装置
JPH0756879B2 (ja) * 1988-03-31 1995-06-14 日鉄セミコンダクター株式会社 半導体の無塵化製造装置
US5254170A (en) * 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
WO1991002100A1 (en) * 1989-08-09 1991-02-21 Comalco Limited CASTING OF MODIFIED Al BASE-Si-Cu-Ni-Mg-Mn-Zr HYPEREUTECTIC ALLOYS
JP2905857B2 (ja) * 1989-08-11 1999-06-14 東京エレクトロン株式会社 縦型処理装置
JP2632419B2 (ja) * 1989-11-30 1997-07-23 株式会社ダイフク 荷保管設備
US5221201A (en) * 1990-07-27 1993-06-22 Tokyo Electron Sagami Limited Vertical heat treatment apparatus
US5261935A (en) * 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
US5399531A (en) * 1990-12-17 1995-03-21 United Micrpelectronics Corporation Single semiconductor wafer transfer method and plural processing station manufacturing system
JP3067325B2 (ja) * 1991-10-17 2000-07-17 神鋼電機株式会社 クリーンルーム用気密ストッカー
US5145303A (en) * 1991-02-28 1992-09-08 Mcnc Method and apparatus for reducing particulate contamination in processing chambers
US5277579A (en) * 1991-03-15 1994-01-11 Tokyo Electron Sagami Limited Wafers transferring method in vertical type heat treatment apparatus and the vertical type heat treatment apparatus provided with a wafers transferring system
JPH04345049A (ja) * 1991-05-22 1992-12-01 Mitsubishi Electric Corp ウェハ配列パターン検出装置およびその検出方法
JP3149206B2 (ja) * 1991-05-30 2001-03-26 東京エレクトロン株式会社 熱処理装置
FR2697003B1 (fr) * 1992-10-16 1994-11-18 Commissariat Energie Atomique Système de manipulation et de confinement d'objets plats dans des boîtes individuelles.
US5363867A (en) * 1992-01-21 1994-11-15 Shinko Electric Co., Ltd. Article storage house in a clean room
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5225691A (en) * 1992-05-18 1993-07-06 Avalon Engineering, Inc. Semiconductor wafer cassette mapper with emitter and detector arrays for slot interrogation
JP3277550B2 (ja) * 1992-05-21 2002-04-22 神鋼電機株式会社 可搬式密閉コンテナ用ガスパージユニット
TW245823B (ko) * 1992-10-05 1995-04-21 Tokyo Electron Co Ltd
JP3275390B2 (ja) * 1992-10-06 2002-04-15 神鋼電機株式会社 可搬式密閉コンテナ流通式の自動搬送システム
JP3186262B2 (ja) * 1992-10-14 2001-07-11 ソニー株式会社 半導体装置の製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101050632B1 (ko) * 2002-08-31 2011-07-19 어플라이드 머티어리얼스, 인코포레이티드 도어 래칭 및 기판 클램핑 매커니즘들을 갖는 기판 캐리어
KR101058326B1 (ko) * 2006-06-26 2011-08-22 어플라이드 머티어리얼스, 인코포레이티드 원자층 증착 및 화학기상 증착을 위한 배치 프로세싱 플랫폼
KR101231231B1 (ko) * 2012-03-14 2013-02-07 유정호 풉 오프너

Also Published As

Publication number Publication date
US5562383A (en) 1996-10-08
US5829939A (en) 1998-11-03

Similar Documents

Publication Publication Date Title
KR100221983B1 (ko) 처리장치
JP3218488B2 (ja) 処理装置
KR100251873B1 (ko) 종형 열처리 장치
KR100831933B1 (ko) 기판처리장치 및 반도체장치의 제조방법
US7731797B2 (en) Substrate treating apparatus and semiconductor device manufacturing method
US20090003977A1 (en) Substrate processing apparatus and manufacturing method of a semiconductor device
US20020020355A1 (en) Processing apparatus
US20120083120A1 (en) Substrate processing apparatus and method of manufacturing a semiconductor device
JP3543996B2 (ja) 処理装置
TWI533948B (zh) 運送及貯藏盒的處理裝置
KR19980070919A (ko) 기판이송 및 처리시스템
JP5048590B2 (ja) 基板処理装置
JP2003007800A (ja) 基板処理装置および半導体装置の製造方法
US6409503B1 (en) Heat treatment method and heat treatment apparatus
JP3372585B2 (ja) 処理装置
JP3372581B2 (ja) 処理装置
JP2007095879A (ja) 基板処理装置
JP3176160B2 (ja) 処理装置
JP3543995B2 (ja) 処理装置
JP3769425B2 (ja) 電子部品の製造装置および電子部品の製造方法
JP3543987B2 (ja) 処理装置
JPH06224143A (ja) 処理装置及びガスシャワーノズル
JP2003092329A (ja) 基板処理装置
JP2004119627A (ja) 半導体製造装置
JP2005347667A (ja) 半導体製造装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20020621

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee