KR100831933B1 - 기판처리장치 및 반도체장치의 제조방법 - Google Patents

기판처리장치 및 반도체장치의 제조방법 Download PDF

Info

Publication number
KR100831933B1
KR100831933B1 KR1020067018841A KR20067018841A KR100831933B1 KR 100831933 B1 KR100831933 B1 KR 100831933B1 KR 1020067018841 A KR1020067018841 A KR 1020067018841A KR 20067018841 A KR20067018841 A KR 20067018841A KR 100831933 B1 KR100831933 B1 KR 100831933B1
Authority
KR
South Korea
Prior art keywords
opening
substrate
chamber
transfer
processing apparatus
Prior art date
Application number
KR1020067018841A
Other languages
English (en)
Other versions
KR20060126602A (ko
Inventor
미쯔노리 다케시타
토모유키 마쯔다
미쯔히로 히라노
아키히로 사토
신야 모리타
토시미쯔 미야타
고지 시바타
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20060126602A publication Critical patent/KR20060126602A/ko
Application granted granted Critical
Publication of KR100831933B1 publication Critical patent/KR100831933B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명에 따르면 메인트넌스에 필요한 치수를 감소하여 풋프린트를 감소시킬 수 있다.
로드록실(41)과 이재실(24)이 케이싱(11) 내부에 배면측으로부터 순차로 각기 배설되어 있음과 동시에, 로드록실(41)의 위쪽에 배설되어 웨이퍼(1)를 처리하는 처리실(53)을 구비하고 있으며, 이재실(24)의 배면측에 있어서 로드록실(41)이 배설되어 있지 않은 곳에는 개구부(66)와 그 개구부(66)를 개폐하는 개폐수단(67)을 각각 배설한다.
로드록실, 이재실, 메인트넌스

Description

기판처리장치 및 반도체장치의 제조방법{SUBSTRATE PROCESSING EQUIPMENT AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE}
본 발명은 기판처리장치 및 반도체장치의 제조방법에 관한 것으로서, 예를 들면 반도체 집적회로 장치(이하 "IC"라 함)의 제조방법에 있어서 IC에 사용되는 반도체 웨이퍼(이하 "반도체 웨이퍼"라 함)에 불순물을 확산시키거나 절연막이나 금속막 등의 CVD막을 형성하는 데 유효하게 이용할 수 있는 기판처리장치 및 반도체장치의 제조방법에 관한 것이다.
일반적으로 IC 제조방법을 실시하는 공장(이하 "IC 제조공장"이라 함)에서는 다수의 기판처리장치를 청정실에 설치하기 때문에 기판처리장치의 외형치수(풋프린트;footprint), 특히 기판처리장치의 폭을 가급적 작게 억제하는 것이 요구되고 있다.
또한 IC 제조공장에 있어서는 복수의 기판처리장치를 옆으로 인접해 설치함으로써 풋프린트를 억제하기 때문에, 기판처리장치의 메인트넌스(maintenance)작업을 옆쪽에서 하지 않도록 구성하는 것(side maintenance free)이 요구되고 있다.
한편 IC의 제조방법에 있어서 자연산화막이 웨이퍼에 형성되면 제품(IC)의 품질이나 신뢰성 및 수율이 저하되는 원인이 된다. 그 때문에 종래에는 로드 록(loadlock) 방식[게이트 밸브 등의 격리밸브를 이용해 처리실과 반입반출실(예비실 내지 대기실)을 격리하고 처리실에 대한 공기 유입을 방지하거나 온도나 압력 등의 영향을 적게 하여 처리를 안정화시키는 방식]의 기판처리장치가 개발되어 있다.
상술한 종래의 로드록 방식의 기판처리장치로는 다음과 같은 기판처리장치가 있다(일본 특허공개번호 제2000-269299호 공보 참조).
처리실에 대해 게이트 밸브로 격리된 반입반출실(이하 "로드록실"이라 함)과 이재실(移載室)이 케이싱(筐體) 내부에 배면측으로부터 순차로 배설(配設)되어 있고, 로드록실의 배면(후면)에는 보수용 도어 밸브가 설치됨과 동시에, 로드록실의 정면(전면)에는 웨이퍼 이재용의 게이트 밸브가 설치되어 있다. 그리고 게이트 밸브의 밸브 개구부(開口部)는 사람이 통과할 수 있을 정도의 크기로 설정되어 있어 모든 보수작업을 정면 또는 배면에서 실시할 수 있도록 구성되어 있다.
그러나 상기 종래의 기판처리장치에 있어서는 로드록실의 정면측에 설치되는 게이트 밸브가 커지기 때문에 게이트 밸브 구동장치가 커질 뿐 아니라 마찰이나 씰 에어리어(seal area) 확대에 따르는 파티클(particle)의 발생이 부득이하다는 문제점이 있다.
본 발명의 목적은 게이트 밸브의 대형화를 회피하면서 메인트넌스에 필요한 면적을 감소시켜 풋프린트를 감소시킬 수 있는 기판처리장치를 제공하는 데 있다.
상기 과제를 해결하는 수단으로서 다음과 같은 것이 있다.
(1) 로드록실과 이재실이 케이싱 내부에 배면측으로부터 순차로 배설되어 있고, 상기 이재실의 배면측에 있어서 상기 로드록실이 배설되어 있지 않은 곳에는 개구부와 그 개구부를 개폐하는 개폐수단이 배설되어 있는 것을 특징으로 하는 기판처리장치.
(2) 상기 개구부는 상기 이재실 내부를 메인트넌스하기 위해 설치되어 있는 것을 특징으로 하는 (1)에 기재한 기판처리장치.
(3) 상기 개구부는 사람이 통과할 수 있는 크기로 설정되어 있는 것을 특징으로 하는 (1) 또는 (2)에 기재한 기판처리장치.
(4) 상기 개폐수단은 상기 케이싱 외부와 접하고 있는 것을 특징으로 하는 (1), (2) 또는 (3)에 기재한 기판처리장치.
(5) 로드록실과 기판을 이재하는 기판 이재장치가 설치된 이재실이 케이싱 내부에 배면측으로부터 순차로 배설되어 있고, 상기 이재실의 배면측에 있어서 상기 로드록실이 배설되어 있지 않은 곳에는 상기 기판 이재장치를 메인트넌스하기 위한 개구부와 그 개구부를 개폐하는 개폐수단이 배설되어 있는 것을 특징으로 하는 기판처리장치.
(6) 기판을 기판보지구(保持具)에 보지하면서 대기시키는 대기실과 이재실과 상기 기판을 수용하는 캐리어를 재치하는 캐리어 재치수단이 케이싱 내부에 배면측으로부터 순차로 배설되어 있고, 상기 기판보지구에 보지된 상기 기판의 중심과 상기 캐리어 재치수단에 재치된 상기 캐리어의 상기 기판 중심을 잇는 선이 상기 케이싱의 폭 방향의 중심선에서 한쪽 방향으로 치우쳐 있고, 반대 측의 상기 이재실의 정면측 또는 배면측에는 개구부와 그 개구부를 개폐하는 개폐수단이 배설되어 있는 것을 특징으로 하는 기판처리장치.
(7) 상기 이재실 내부의 한쪽에는 상기 기판을 이재하는 기판 이재장치 가 배치되고 다른 쪽에는 상기 기판을 정합(整合)하는 기판 정합장치가 배치되어 있는 것을 특징으로 하는 (6)에 기재한 기판처리장치.
(8) 상기 기판 이재장치의 수평방향의 회전 중심은 상기 선상에 배치되어 있는 것을 특징으로 하는 (6) 또는 (7)에 기재한 기판처리장치.
(9) 상기 개구부는 상기 이재실 내부를 메인트넌스하기 위해 설치되어 있는 것을 특징으로 하는 (6)에 기재한 기판처리장치.
(10) 상기 개구부는 상기 기판 이재장치 및 상기 기판 정합장치를 메인트넌스하기 위해 설치되어 있는 것을 특징으로 하는 (6) 내지 (9)중 어느 하나에 기재한 기판처리장치.
(11) 상기 개구부는 사람이 통과할 수 있는 크기로 설정되어 있는 것을 특징으로 하는 (6) 내지 (10)중 어느 하나에 기재한 기판처리장치.
(12) 상기 대기실은 로드록실인 것을 특징으로 하는 (6) 내지 (11)중 어느 하나에 기재한 기판처리장치.
(13) 대기실과 이재실이 케이싱 내부에 배면측으로부터 순차로 배설되어 있고, 상기 이재실에는 상기 이재실의 공기를 청정하는 크린 유닛(clean unit)과 기판을 정합하는 기판 정합장치와 상기 기판을 이재하는 기판 이재장치가 상기 크린 유닛에서 흘러나오는 가스의 흐름 방향으로 순차적으로 배설되어 있는 것을 특징으로 하는 기판처리장치.
(14) 상기 이재실의 정면 또는 배면의 상기 크린 유닛의 근방에는 개구부와 그 개구부를 개폐하는 개폐수단이 배설되어 있는 것을 특징으로 하는 (13)에 기재한 기판처리장치.
(15) 상기 개구부는 상기 이재실 내부를 메인트넌스하기 위해 설치되어 있는 것을 특징으로 하는 (13) 또는 (14)에 기재한 기판처리장치.
(16) 상기 개구부는 상기 기판 이재장치 및 상기 기판 정합장치를 메인트넌스하기 위해 설치된 것을 특징으로 하는 (13) 내지 (15)중 어느 하나에 기재한 기판처리장치.
(17) 상기 개구부는 사람이 통과할 수 있는 크기로 설정되어 있는 것을 특징으로 하는 (13) 내지 (16)중 어느 하나에 기재한 기판처리장치.
(18) 상기 대기실은 로드록실인 것을 특징으로 하는 (13) 내지 (17)중 어느 하나에 기재한 기판처리장치.
(19) 상기 로드록실의 위쪽에는 상기 기판을 처리하는 처리실이 배치되어 있는 것을 특징으로 하는 (1) 내지 (5), (12)중 어느 하나에 기재한 기판처리장치.
(20) 상기 대기실의 위쪽에는 상기 기판을 처리하는 처리실이 배치되어 있는 것을 특징으로 하는 (6) 내지 (11), (13)~(17)중 어느 하나에 기재한 기판처리장치.
(21) 상기 처리실보다 정면측에는 상기 처리실을 개폐하는 노구(爐口) 게이트 밸브를 둘러싸는 노구 게이트 밸브커버가 돌설되어 있고, 상기 이재실의 위쪽에는 상기 노구 게이트 밸브를 메인트넌스하기 위한 개구부와 그 개구부를 개폐할 수 있는 개폐수단이 설치되어 있는 것을 특징으로 하는 (19) 또는 (20)에 기재한 기판처리장치.
(22) 상기 개구부 및 개폐수단은 수평방향에서 볼 때 상기 크린 유닛 측에 가깝게 됨에 따라 상기 이재실의 공간을 점차 작게 하도록 배치되어 있는 것을 특징으로 하는 (1) 내지 (21)중 어느 하나에 기재한 기판처리장치.
(23) 로드록실과 이재실이 케이싱 내부에 배면측으로부터 순차로 배설되어 있음과 동시에, 상기 로드록실의 위쪽에 배설되어 기판을 처리하는 처리실과, 상기 처리실을 가열하는 히터 유닛(heater unit)과, 상기 처리실에 가스를 공급하는 가스 공급관과, 상기 처리실을 배기하는 배기관을 구비하고 있고, 상기 이재실의 배면측에 있어서 상기 로드록실이 배설되어 있지 않은 곳에는 개구부와 그 개구부를 개폐하는 개폐수단이 배설되어 있는 것을 특징으로 하는 기판처리장치.
(24) 로드록실과 이재실이 케이싱 내부에 배면측으로부터 순차로 배설되어 있는 동시에, 상기 로드록실의 위쪽에 배설되어 기판을 처리하는 처리실과, 상기 처리실을 가열하는 히터 유닛과, 상기 처리실에 가스를 공급하는 가스 공급관과, 상기 처리실을 배기하는 배기관을 구비하고 있고, 상기 이재실의 배면측에 있어서 상기 로드록실이 배설되어 있지 않은 곳에는 개구부와 이 개구부를 개폐하는 개폐수단이 배설되어 있는 기판처리장치를 사용해 상기 기판을 처리하는 반도체장치의 제조방법으로서, 상기 히터 유닛에 의해 상기 처리실을 가열하는 단계와, 상기 처리실에 상기 가스 공급관으로부터 상기 가스를 공급하는 단계와, 상기 기판을 처리하는 단계와, 상기 처리실을 배기관에 의해 배기하는 단계를 갖는 것을 특징으로 하는 반도체장치의 제조방법.
(25) 로드록실과 이재실이 케이싱 내부에 배면측으로부터 순차적으로 배설되어 있고, 상기 로드록실이 상기 케이싱의 폭 방향의 중심선에 대해 한쪽 방향으로 치우쳐 있고, 반대쪽의 상기 이재실 배면 측에는 개구부와 그 개구부를 개폐하는 개폐수단이 배설되어 있는 것을 특징으로 하는 기판처리장치.
(26) 상기 로드록실의 배면 측에는 상기 개구부와 그 개구부를 개폐하는 개폐수단을 배설되어 있는 것을 특징으로 하는 (25)에 기재한 기판처리장치.
도 1은 본 발명의 제1실시예인 배치(batch)식 CVD 장치를 나타내는 평면단면도.
도 2는 도1의 측면단면도.
도 3은 도 1의 Ⅲ-Ⅲ 선에 따르는 단면도.
도 4는 본 발명의 제2실시예인 배치식 CVD 장치를 나타내는 평면단면도.
<도면 주요 부호의 설명>
1 : 웨이퍼(기판) 2 : 포드(pod:캐리어)
10 : 배치식 CVD 장치(기판처리장치) 11 : 케이싱
12 : 정면벽 13 : 정면메인트넌스구
14a, 14b : 정면 메인트넌스 문 15a, 15b : 힌지(hinge)
16 : 포드 반입반출구 17 : 포드 스테이지
18 : 포드 반송장치 19 : 메인트넌스 통로
20 : 회전식 포드 선반 21 : 지주
22 : 선반 23 : 이재실 케이싱
23a : 천정벽 23b : 정면벽
24 : 이재실 25 : 웨이퍼 이재장치
25a : 트위저(tweezers) 26 : 웨이퍼 반입반출구
27, 27A : 이재실 메인트넌스구(개구부)
28, 28A : 이재실 메인트넌스 문(개폐수단)
29 : 힌지 30 : 포드 오프너(pod opener)
31 : 재치대(裁置臺) 32 : 캡 착탈 기구
33 : 크린 에어(clean air) 34 : 크린 유닛(clean unit)
35 : 노치 맞춤 장치(기판 정합장치) 36 : 배기 장치
40 : 내압 케이싱 41 : 로드록실
42 : 웨이퍼 반입반출구 43 : 게이트 밸브
44 : 가스 공급관 45 : 배기관
46 : 보트 반입반출구 47 : 노구 게이트 밸브
48 : 노구 게이트 밸브 출입구 49 : 노구 게이트 밸브 커버
50 : 히터 유닛 설치 케이싱 51 : 히터 유닛 설치실
52 : 히터 유닛 53 : 처리실
54 : 프로세스 튜브(process tube) 55 : 아우터 튜브(outer tube)
56 : 이너 튜브(inner tube) 57 : 배기로
58 : 매니폴드(manifold) 59 : 배기관
60 : 보트 엘리베이터 61 : 승강대
62 : 암(arm) 63 : 씰 캡(seal cap)
64 : 보트(기판보지구) 65 : 로터리 액튜에이터
66 : 로드록실 메인트넌스구(개구부) 67 : 메인트넌스문(개폐수단)
68 : 배면 메인트넌스구(개구부)
69 : 배면 메인트넌 스문(개폐수단)
70 : 노구 게이트 밸브 메인트넌스구(개구부)
71 : 메인트넌스 문(개폐수단)
이하 본 발명의 제1실시예를 도면을 참조하면서 설명한다.
본 발명에 따른 기판처리장치는, IC 제조방법에 있어서 웨이퍼에 절연막이나 금속막 등의 CVD 막을 형성하는 공정에 사용되는 배치식 세로형 핫월(hot wall)형 감압 CVD 장치(이하 "배치식 CVD 장치"라 함)로 구성되어 있다. 본 실시예에 따른 배치식 CVD 장치(10)에 있어서 웨이퍼(1)를 수용하여 반송하는 캐리어로서는 FOUP(front opening unified pod; 이하 "포드"라 함)(2)가 사용되고 있다. 이하 설명에 있어서 전후좌우는 도 1을 기준으로 한다. 즉 이재실(24) 측이 앞측, 로드록실(41) 측이 뒷측, 보트 엘리베이터(60) 측이 우측, 씰 캡(63) 측이 좌측이 된다.
도 1 및 도 2에 보인 것과 같이 배치식 CVD 장치(10)는 대략 직방체형상으로 구축된 케이싱(11)을 구비하고 있고 케이싱(11)은 대기압을 유지할 수 있는 기밀성능을 갖도록 구성되어 있다. 케이싱(11)의 정면벽(12)의 하부에는 개구부로서의 정면 메인트넌스구(13)가 사람이 통과할 수 있는 크기로 개설되어 있고, 정면벽(12)의 정면측에는 정면 메인트넌스구(13)의 개폐수단으로서의 제1 정면 메인트넌스문(14a) 및 제2 정면 메인트넌스문(14b)이 각각 설치되어 있다.
제1 정면 메인트넌스문(14a)의 우측 단변(端邊)은 정면벽(12)의 정면측의 우측 단변의 힌지(15a)에 의해 회동(回動)되도록 지지되어 있고, 제2 정면 메인트넌스문(14b)의 좌측 단변은 정면벽(12)의 정면측의 좌측 단변의 힌지(15b)에 의해 회동되도록 지지되어 있다. 제1 정면 메인트넌스문(14a)은 힌지(15a)를 중심으로 반시계방향으로 열리게 되어 있고 제2정면 메인트넌스문(14b)은 힌지(15b)를 중심으로 하여 시계방향으로 열리게 되어 있다. (도1의 가상선 참조).
정면벽(12)의 중간 높이에는 포드 반입반출구(16)가 개설되어 있고 포드 반입반출구(16)의 앞쪽에는 포드 스테이지(17)가 구축되어 있다. 도 1에 가상선으로 나타낸 것과 같이 포드 스테이지(17)는 시계방향으로 회동하게 되어 있다. 한편, 포드 스테이지(17)에는 포드(2)가 RGV등의 공정 내 반송장치에 의해 공급 및 배출되도록 되어 있다.
케이싱(11)의 정면벽(12)의 후측에는 포드 반송장치(18)가 설치되어 있고 포드 반송장치(18)는 리니어(linear) 액튜에이터나 엘리베이터 및 스카라(scalar) 형 로봇 등에 의해 구성되어 있다.
포드 반송장치(18)는 케이싱(11)의 앞측 공간에 있어서 좌측으로 치우쳐 배 치되어 있다. 이와 같이 포드 반송장치(18)가 좌측에 치우쳐 배치됨으로써 케이싱(11) 앞측 공간의 우측 부분에는 메인트넌스 통로(19)가 형성되어 있다.
포드 반송장치(18)는 포드 스테이지(17)와 회전식 포드 선반(20)과 포드 오프너(30)의 재치대(31) 사이에서 포드(2)를 반송하도록 구성되어 있다.
도 2에 보이는 것과 같이 회전식 포드 선반(20)은 케이싱(11) 내부의 포드 반송장치(18)의 뒤측 상부에 배치되어 있고 복수 대의 포드(2)를 일시적으로 보관할 수 있도록 구성되어 있다. 즉 회전식 포드 선반(20)은 회전하는 지주(21)와 복수 대의 포드(2)를 보지하는 복수 매의 선반(22)을 구비하여 있으며, 복수 매의 선반(22)은 지주(21)에 복수 단(段) 배치되어 수평으로 고정되어 있다.
케이싱(11) 내부의 회전식 포드 선반(20)의 하측에는 이재실 케이싱(23)이 구축되어 있고 회전식 포드 선반(20)은 이재실 케이싱(23)의 천정벽(23a) 위에 수직으로 입설(入設)되어 있다. 이재실 케이싱(23)은 포드 반송장치(18)나 회전식 포드 선반(20)의 설치 공간으로부터 유체적(流體的)으로 격리된 이재실(24)을 구성하고 있다.
이재실(24)에는 웨이퍼(1)를 이재하는 웨이퍼 이재장치(25)가 수평으로 설치되어 있다. 웨이퍼 이재장치(25)는 스카라 형 로봇(selective compliance assembly robot arm, SCARA)에 의해 구성되어 있고 웨이퍼(1)를 트위저(25a)에 의해 밑에서 떠올려 3차원 방향으로 반송하도록 구성되어 있다.
이재실 케이싱(23)의 정면벽(23b)에는 상하 한 쌍의 웨이퍼 반입반출구(26 및 26)와 이재실 메인트넌스구(27)가 좌우로 근접하여 각각 개설되어 있다. 정면 벽(23b)은 이재실 메인트넌스구(27)의 부분에 있어서 우단이 구석으로 가도록 굴곡되어 있다.
상하의 웨이퍼 반입반출구(26 및 26)는 좌측에 치우쳐 배치되어 있고 웨이퍼(1)를 이재실(24)에 반입반출할 수 있도록 구성되어 있다. 이재실 메인트넌스구(27)는 반대측인 우측 부분에 배치되어 있고 사람이 통과할 수 있는 크기로 개설되어 있다. 이재실 메인트넌스구(27)는 메인트넌스 통로(19)에 연락할 수 있도록 되어 있다.
정면벽(23b)에는 이재실 메인트넌스구(27)를 개폐하는 개폐수단으로서의 이재실 메인트넌스문(28)이 설치되어 있다. 즉 이재실 메인트넌스문(28)의 우측 단변은 정면벽(23b)의 우측 단변의 힌지(29)를 중심으로 반시계 방향으로 열리게 되고 있다(도1의 가상선을 참조). 또한 이재실 메인트넌스문(28)을 닫았을 때에는 충분한 기밀성을 가지고 있어 메인트넌스 통로(19)와 이재실(24)과는 충분히 격리되도록 되어 있다.
이재실 케이싱(23)의 정면벽(23b) 상하의 웨이퍼 반입반출구(26 및 26)에는 상하 한 쌍의 포드 오프너(30)가 설치되어 있다. 포드 오프너(30)는 웨이퍼 반입반출구(26)의 정면 측의 하단변에 수평으로 돌설되어 포드(2)를 재치 하는 재치대(31)와 재치대(31)에 재치된 포드(2) 캡을 착탈하는 캡 착탈기구(32)를 구비하고 있고, 재치대(31)에 재치된 포드(2)의 캡을 캡 착탈기구(32)에 의해 착탈함으로써 포드(2)의 웨이퍼 출입구를 개폐할 수 있게 되어 있다.
도 1에 보이는 것과 같이 웨이퍼 이재장치(25)의 반대쪽인 이재실(24)의 우 측단부에는 크린 에어(33)를 불어 내는 크린 유닛(34)이 설치되어 있고, 웨이퍼 이재장치(25)와 크린 유닛(34)의 사이에는 기판 정합장치로서의 노치 맞춤장치(35)가 설치되어 있다.
크린 유닛(34)으로부터 흘러 나온 크린 에어(33)는 노치 맞춤장치(35) 및 웨이퍼 이재장치(25)를 흐른 후 케이싱(11)의 오른쪽 뒤 구석에 설치된 배기장치(36)에 의해 흡입되어 케이싱(11) 외부에 배기되도록 되어 있다.
한편, 크린 유닛(34)으로부터 흘러 나온 후, 질소가스 공급수단(도시하지 않음)에 의해 질소가스를 공급시키면서 노치 맞춤장치(35) 및 웨이퍼 이재장치(25)를 흐른 후에 이재장치(25)의 좌측 덕트(도시하지 않음)에 의해 흡입되어 케이싱(11)의 외기에 배기시키도록 해도 된다.
케이싱(11) 내부의 이재실(24) 뒤쪽에는 대기압 미만의 압력[이하 "부압(負壓)"이라 함]을 유지할 수 있는 기밀성능을 가진 케이싱(이하 "내압 케이싱"이라 함)(40)이 설치되어 있고 이 내압케이싱(40)에 의해 보트를 수납할 수 있는 용적을 갖는 로드록 방식의 대기실인 로드록실(41)이 형성되어 있다.
내압케이싱(40)의 정면벽에는 웨이퍼 반입반출구(42)가 개설되어 있고 웨이퍼 반입반출구(42)는 게이트 밸브(43)에 의해 개폐될 수 있도록 되어 있다. 내압케이싱(40)의 한 쌍의 측벽에는 로드록실(41)로 질소(N2)가스를 공급하기 위한 가스 공급관(44)과 로드록실(41)을 부압이 되도록 배기하기 위한 배기관(45)이 각각 접속되어 있다.
도 2 및 도 3에 보이는 것과 같이 로드록실(41)의 천정벽에는 보트 반입반출구(46)가 개설되어 있고, 보트 반입반출구(46)는 그보다 큰 직경의 원판 형상으로 형성된 노구(瀘口) 게이트 밸브(47)에 의해 개폐될 수 있도록 구성되어 있다.
내압케이싱(40) 전면벽의 상단부에는 노구 게이트 밸브 출입구(48)가 노구 게이트 밸브(47) 직경 및 두께보다 큰 가로 방향 장방형으로 개설되어 있고, 내압케이싱(40) 전면벽의 외측에는 노구 게이트 밸브(47)를 보트 반입반출구(46) 개방시 수용하는 노구 게이트 커버(49)가 노구 게이트 밸브 출입구(48)를 폐색하도록 부착되어 있다.
노구 게이트 커버(49)는 두께 및 가로 폭이 노구 게이트 밸브 출입구(48)보다 큰 반원형으로서, 반원형의 현(弦; 활시위)에 해당하는 측벽이 개구된 케이싱 형상으로 형성되어 있다. 노구 게이트 커버(49)가 개구된 측벽 우단은 노구 게이트 밸브 출입구(48)의 일단(一端)에 설치된 힌지(도시하지 않음)에 의해 수평으로 회동하도록 지지되어 있다.
노구 게이트 커버(49)는 통상적으로 노구 게이트 밸브 출입구(48)가 폐색할 때에는 실링(seal ring)을 개재해 내압케이싱(40)의 전면에 당접(當接)된 상태로 체결구에 의해 체결되어 있고, 내압케이싱(40)의 전면에서 앞으로 튀어나온 상태로 되어 있다.
내압케이싱(40)의 위쪽에는 히터 유닛 설치 케이싱(50)이 구축되어 있고 히터 유닛 설치 케이싱(50)의 히터 유닛 설치실(51)에는 처리실(53)을 가열하는 히터 유닛(52)이 수직방향으로 설치되어 있다.
도 3에 보이는 것과 같이 히터 유닛(52)의 내부에는 처리실(53)을 형성하는 프로세스 튜브(54)가 설치되어 있다. 프로세스 튜브(54)는 석영(SiO2)이 사용되고 상단이 폐색되고 하단이 개구된 원통형상의 아우터 튜브(55)와, 석영 또는 탄화실리콘(SiC)이 사용되고 상하 양단이 개구된 원통형상의 이너 튜브(56)를 구비하고 있으며, 아우터 튜브(55)가 이너 튜브(56)가 동심원으로 덮여 있다.
아우터 튜브(55)와 이너 튜브(56) 사이에는 환상(環狀)의 배기로(57)가 형성되어 있다. 프로세스 튜브(54)는 내압케이싱(40)의 천정벽 위에 매니폴드(58)를 개재해 지지되어 있고, 매니폴드(58)는 보트 반입반출구(46)에 동심원으로 배치되어 있다.
도 2에 보이는 것과 같이 매니폴드(58)에는 프로세스 튜브(54)의 내부를 배기하기 위해 배기관(59)이 접속되어 있다.
한편, 도시하지는 않았으나, 배치식 CVD 장치(10)는 처리실(53)에 원료 가스나 퍼지 가스 등을 도입하기 위한 가스 도입관과 프로세스 튜브(54)의 내부의 온도를 측정하여 히터 유닛(52)을 피드백 제어하는 열전대를 구비하고 있다.
도 1 및 도 3에 도시한 것과 같이 내압케이싱(40)에는 보트를 승강하기 위한 보트 엘리베이터(60)가 설치되어 있고 보트 엘리베이터(60)는 이송나사장치와 벨로즈(bellows) 등에 의해 구성되어 있다.
보트 엘리베이터(60)의 승강대(61)의 측면에는 암(62)이 수평으로 돌설되어 있고 암(62)의 선단에는 씰 캡(63)이 수평으로 설치되어 있다.
씰 캡(63)은 프로세스 튜브(54)의 노구가 되는 내압케이싱(40)의 보트 반입반출구(46)를 기밀 봉합 하도록 구성되어 있다.
씰 캡(63) 위에는 기판보지구로서의 보트(64)가 수직으로 지지되어 있다. 보트(64)는 복수 매(예를 들면 25매, 50매, 100매, 125매, 150매)의 웨이퍼(1)를 중심을 맞추어 수평으로 지지한 상태에서 보트 엘리베이터(60)에 의한 씰 캡(63)의 승강에 따라 프로세스 튜브(54)의 처리실(53)에 대하여 반입반출하도록 구성되어 있다.
또한 보트(64)는 씰 캡(63)에 설치된 로터리 액추에이터(65)에 의해 회전되도록 구성되어 있다.
도 1에 보이는 것과 같이 보트(64)에 보지된 웨이퍼(1)의 중심과 포드 오프너(30)의 재치대(31)에 재치된 포드(2)의 웨이퍼(1)의 중심을 잇는 선분은 케이싱(11)의 폭 방향의 중심선에 대하여 왼쪽으로 치우치도록 보트(64) 및 포드 오프너(30)의 재치대(31)가 배설되어 있다.
또한 웨이퍼 이재장치(25)의 수평방향의 회전 중심은 보트(64) 위의 웨이퍼(1)의 중심과 포드 오프너(30) 위의 포드(2)의 웨이퍼(1)의 중심을 잇는 선분 위에 배치되어 있다.
내압케이싱(40)의 배면벽에는 로드록실 메인트넌스구(66)가 세로 길이의 장방형으로 크게 개설되어 있고 배면벽의 외면에는 로드록실 메인트넌스구(66)를 폐색하는 메인트넌스문(67)이 설치되어 있다.
메인트넌스문(67)은 로드록실 메인트넌스구(66)가 부압을 유지하여 폐색할 수 있도록 구성되어 있다.
케이싱(11)의 배면벽에는 배면 메인트넌스구(68)가 세로 길이 장방형으로 크게 개설되어 있고 배면벽의 외면에는 배면 메인트넌스구(68)를 폐색하는 배면 메인트넌스문(69)이 설치되어 있다.
이재실 케이싱(23)의 천정벽(23a)에 있어서 노구 게이트 커버(49)의 전방에는 노구 게이트 밸브 커버(49)를 메인트넌스하기 위해 개구부로서의 메인트넌스구(70) 및 메인트넌스구(70)를 개폐할 수 있는 개폐수단으로서의 메인트넌스문(71)이 설치되어 있다.
이하 상기 배치식 CVD 장치를 사용한 IC의 제조방법에 있어서의 성막공정을 설명한다.
본 실시예에 있어서는 1대의 포드(2)에 수납된 25매 이내의 프로덕트 웨이퍼(1)를 배치 처리(일괄처리) 할 경우에 대해서 설명한다.
성막해야 할 웨이퍼(1)는 25매 이내가 포드(2)에 수납된 상태로 공정 내 반송장치에 의해 배치식 CVD 장치(10)의 포드 스테이지(17)로 반송되어 온다.
반송되어 온 포드(2)는 포드 반송장치(18)에 의해 포드 스테이지(17)에서 회전식 포드 선반(20)의 지정된 장소로 반송되어 보관된다.
포드(2)는 포드 반송장치(18)에 의해 포드 오프너(30)의 재치대(31)위로 반송되어 재치된다. 재치된 포드(2)의 웨이퍼 출입구의 캡은 포드 오프너(30)의 캡 착탈기구(32)에 의해 벗겨져 포드(2)의 웨이퍼 출입구가 개방된다.
포드(2)가 포드 오프너(30)에 의해 개방되면 로드록실(41)의 웨이퍼 반입반 출구(42)가 게이트 밸브(43)에 의해 개방된다. 이때 로드록실(41)은 질소 가스가 충만된 소위 질소 가스 퍼지 분위기로 유지되어 있고 압력은 거의 대기압으로 유지되고 있다.
포드(2)가 포드 오프너(30)에 의해 개방되면 웨이퍼(1)는 포드(2)로부터 웨이퍼 이재장치(25)에 의해 웨이퍼 반입반출구(26)를 통해 픽업되어 이재실(24)에 반입된다.
이재실(24)에 반입된 웨이퍼(1)는 노치 맞춤장치(35)에 재치 되고 웨이퍼(1)의 노치가 노치 맞춤장치(35)에서 합쳐진 후에 다시 웨이퍼 이재장치(25)에 의해 픽업되어 웨이퍼 반입반출구(42)를 통해서 로드록실(41)에 반입되어 보트(64)에 이재되어서 장전(wafer charging)된다.
이 이재작업의 반복으로 포드(2)의 모든 웨이퍼(1)는 웨이퍼 이재장치(25)에 의해 보트(64)에 장전된다.
빈 포드(2)는 포드 오프너(30)의 재치대(31)로부터 포드 반송장치(18)에 의해 회전식 포드 선반(20)으로 일시적으로 되돌아간다.
웨이퍼 반입반출구(42)가 게이트 밸브(43)에 의해 닫히면 로드록실(41)은 배기관(45)에 의해 진공으로 되어 감압된다. 이때 로드록실(41)은 이재실(24)과 격리되어 있으므로 감압시간은 짧아도 된다.
로드록실(41)이 소정의 부압으로 감압되면 보트 반입반출구(46)가 노구 게이트 밸브(47)에 의해 개방된다. 이때 노구 게이트 밸브(47)는 노구 게이트 밸브 출입구(48)로부터 노구 게이트 커버(49) 내부로 반입되어 수용된다.
뒤이어 씰 캡(63)이 보트 엘리베이터(60)의 승강대(61)에 의해 올라가 씰 캡(63)에 지지된 보트(64)가 프로세스 튜브(54)의 처리실(53)에 반입(boat loading)된다.
보트(64)가 상한에 도달하면 보트(64)를 지지한 씰 캡(63) 윗면의 주변부가 보트 반입반출구(46)를 씰 상태로 폐색하기 때문에 처리실(53)은 기밀로 닫힌 상태가 된다.
보트(64)의 처리실(53)로의 반입에 있어서 로드록실(41)은 부압으로 유지되어 있기 때문에 보트(64)의 처리실(53)로의 반입에 따라 외부의 산소나 수분이 처리실(53)에 유입되는 것은 확실히 방지된다.
그 후 프로세스 튜브(54)의 처리실(53)은 기밀로 닫힌 상태로서 소정의 압력이 되도록 배기관(59)에 의해 배기되고 히터 유닛(52)에 의해 소정의 온도로 가열되며, 소정의 원료 가스가 가스 도입 관에 의해 소정의 유량만이 공급된다. 이에 따라 미리 설정된 처리조건에 대응하는 소정의 막이 웨이퍼(1)에 형성된다.
웨이퍼(1)에 대한 성막단계에 대해 설정된 처리시간이 경과하면 처리실(53)은 질소 가스에 의해 치환된 후 보트(64)가 보트 엘리베이터(60)에 의해 하강됨으로써 처리완료 웨이퍼(1)를 보지한 보트(64)가 로드록실(41)로 반출(boat unloading)된다.
보트(64)가 로드록실(41)로 배출되면 보트 반입반출구(46)가 노구 게이트 밸브(47)에 의해 폐색되고 로드록실(41)이 질소 가스 퍼지된다.
한편 빈 포드(2)가 회전식 포드 선반(20)으로부터 포드 오프너(30)의 재치 대(31)에 포드 반송장치(18)에 의해 반송되어 이재된다.
로드록실(41)의 질소 가스 퍼지 분위기의 압력이 거의 대기압으로 유지되면 웨이퍼 반입반출구(42)가 게이트 밸브(43)에 의해 개방된다. 또한 포드 오프너(30)의 재치대(31)의 위의 빈 포드(2)의 캡이 캡 착탈기구(32)에 의해 개방된다.
뒤이어 반출된 보트(64)의 처리 완료 웨이퍼(1)가 웨이퍼 이재장치(25)에 의해 탈장(脫漿)되어 대기압으로 유지된 이재실(24)로 반입된다. 반입된 처리 완료 웨이퍼(1)는 포드 오프너(30)의 빈 포드(2)에 웨이퍼 이재장치(25)에 의해 수납된다.
보트(64)의 처리 완료 웨이퍼(1)가 포드(2)에 모두 수납되면 포드(2)는 포드 오프너(30)의 캡 착탈기구(32)에 의해 캡이 장착된 후 포드 반송장치(18)에 의해 재치대(31)로부터 회전식 포드 선반(20)로 반송된다.
그 후 처리 완료 웨이퍼(1)를 수납한 포드(2)는 회전식 포드 선반(20)으로부터 포드 스테이지(17)로 반송되고 포드 스테이지(17)로부터 다음 처리 공정으로 공정 내 반송장치에 의해 반송되어 나간다.
이후 전술한 작용이 반복되어 웨이퍼(1)가 25매씩 배치식 CVD 장치(10)에 의해 배치 처리되어 간다.
그런데 예를 들면 웨이퍼 이재장치(25)의 세라믹제의 트위저(25a)가 파손된 경우 트위저(25a)를 교환 할 필요가 있다.
이와 같이 이재실(24)에 있어서의 메인트넌스를 실시할 경우에는 도 1의 가상선에서 보이는 것과 같이 우선 제1 정면 메인트넌스문(14a)이 힌지(15a)를 중심 으로 전방에 수평 회동됨으로써 정면 메인트넌스구(13)의 우측이 개방된다.
다음에 도 1의 가상선으로 도시한 바와 같이 이재실 메인트넌스문(28)이 힌지(29)를 중심으로 전방으로 회동됨에 의하여 이재실 메인트넌스구(27)가 열린다.
그리고나서, 이재실(24)의 웨이퍼 이재장치(25)의 트위저(25a) 교환작업이 정면 메인트넌스구(13), 메인트넌스 통로(19) 및 이재실 메인트넌스구(27)를 통해 실시된다.
노구 게이트 커버(49)의 메인트넌스는 정면 메인트넌스구(13), 메인트넌스 통로(19), 노구 게이트 밸브용 메인트넌스구(70)를 통해서 실시된다.
보트(64)나 프로세스 튜브(54)의 풀 크리닝(wet etching에 의한 세정) 등을 실시하는 경우에는 우선 케이싱(11)의 배면벽의 배면 메인트넌스구(68)가 메인트넌스문(69)에 의해 개방된다.
뒤이어 내압케이싱(40)의 배면벽 로드록실 메인트넌스구(66)가 메인트넌스문(67)에 의해 개방된다. 그 후에 보트(64)나 프로세스 튜브(54)의 교환작업이 로드록실 메인트넌스구(66 및 68)를 통해서 실시된다.
상기 실시예에 의하면 다음 효과를 얻을 수 있다.
1) 이재실의 정면벽에 이재실 메인트넌스구 및 이재실 메인트넌스구를 개폐하는 메인트넌스문을 배설함으로써 이재실 내부의 메인트넌스 작업을 이재실 메인트넌스구를 통해 실시할 수 있으므로, 메인트넌스문이 이재실의 측면에 설치되는 경우 이재실의 옆쪽에 필요한 메인트넌스 지역과 작업자가 출입하기 위한 통로부분을 생략할 수 있다. 그 결과 배치식 CVD 장치의 풋프린트를 감소시킬 수 있다.
2) 보트에 보지된 웨이퍼의 중심과 포드 오프너에 재치된 포드의 웨이퍼 중심을 잇는 선분을 케이싱의 폭 방향의 중심선에 대해 한쪽(좌측)에 치우치게 하고 이재실의 정면벽의 반대측(우측)에 메인트넌스문에 의해 개폐되는 이재실 메인트넌스구를 배설함으로써, 로드록실과 이재실과 포드 오프너가 케이싱 내부에 배면측으로부터 순차로 배설된 배치식 CVD 장치라도 불필요한 풋프린트를 늘리지 않고, 또한 이재실 내부에 설치된 웨이퍼 이재장치나 노치 맞춤 장치 등을 로드록실을 통하지 않고 메인트넌스 할 수 있으므로 이들의 메인트넌스 작업을 용이하게 실시할 수 있다.
3) 또한 이재실을 메인트넌스할 때 로드록실을 외기에 노출할 필요가 없기 때문에 이때 로드록실 내부가 오염되는 것을 방지할 수 있다. 또한 로드록실을 통로로 할 필요가 없기 때문에 로드록실의 치수를 작게 할 수 있고 감압 및 질소 가스 치환 시간을 단축할 수 있다.
4) 케이싱의 정면벽을 개폐하도록 구성함으로써 포드 반송 장치나 포드 오프너 등의 메인트넌스를 용이하게 실시할 수 있음과 동시에 그 구석에 설치된 이재실 메인트넌스구로의 액세스(access) 통로를 확보할 수 있다.
5) 정면 메인트넌스문을 폭 방향으로 둘로 나누어 한쪽을 포드 스테이지와 거의 동일한 폭으로 하고 다른 쪽을 메인트넌스 통로와 거의 동일한 폭으로 설정함으로써, 이재실이나 노구 게이트 밸브 등의 메인트넌스를 할 때 다른 쪽만을 개방함하여 메인트넌스할 수 있기 때문에 작업효율을 향상시킬 수 있다.
6) 이재실에 크린 유닛과 노치 맞춤 장치와 웨이퍼 이재장치를 크린 에어의 흐름 방향으로 순서대로 배설함으로써, 노치 맞춤 장치 및 웨이퍼 이재장치를 크린 에어에 의해 효과적으로 크리닝할 수 있으므로, 웨이퍼 및 배치식 CVD 장치의 청정도를 향상시킬 수 있다. 즉 노치 맞춤 장치와 웨이퍼 이재 장치를 비교했을 때 웨이퍼 이재장치 쪽이 훨씬 크게 동작하기 때문에 파티클(particle)을 발생하는 경우가 많고 그 때문에 웨이퍼 이재장치를 크린 유닛에서 볼 때 하류 측으로 함으로써 크린 에어에 의하여 효과적으로 크리닝할 수가 있다.
7) 이재실 메인트넌스구 및 이재실 메인트넌스문을 수평방향으로 볼 때 크린 유닛 쪽으로 가까워짐에 따라 이재실의 공간을 점차 작게 되도록 배치함으로써, 크리닝할 스페이스를 작게 억제할 수 있음과 동시에 크린 유닛에서 흘러나온 크린 에어의 고임 발생 등을 방지하여 크린 에어를 이재실 전체로 확산할 수 있게 함으로써 크린 에어의 크리닝 효과를 향상시킬 수 있다.
8) 크린 유닛 근방에 이재실 메인트넌스구를 배설함으로써 크린 유닛의 메인트넌스 작업을 간단히 실시할 수 있다.
9) 처리실을 개폐하는 노구 게이트 밸브를 둘러싼 노구 게이트 밸브커버를 이재실의 위에 돌설함과 동시에, 이재실의 위쪽에 노구 게이트 밸브커버를 메인트넌스하기 위한 메인트넌스구 및 메인트넌스문을 배설함으로써, 노구 게이트 밸브커버 및 노구 게이트 밸브의 메인트넌스 작업을 이재실이나 로드록실을 통하지 않고 실시할 수 있다.
도 4는 본 발명의 다른 실시예인 배치식 CVD 장치를 나타내는 평면의 단면도이다.
본 실시예가 상기 제1실시예와 다른 점은 포드 오프너(3O) 위의 웨이퍼(1)의 중심과 웨이퍼 이재장치(25) 위의 웨이퍼의 중심과 보트(64) 위의 웨이퍼(1)의 중심을 통하는 선이 케이싱(11)의 폭 방향의 중심선에 대하여 우측에 치우쳐 있고 이재실 메인트넌스구(27A) 및 이재실 메인트넌스문(28A)이 이재실(24)의 배면벽 좌단부의 외면에 접하여 배설되어 있는 점이다.
본 실시예에 있어서도 이재실(24)에 이재실 메인트넌스구(27A)를 통해 직접적으로 액세스할 수 있으므로 상기 실시 형태와 동등한 효과를 얻을 수 있다.
본 발명은 상기 실시예에 한정되는 것이 아니라 그 요지를 벗어나지 않는 범위에서 여러 가지 변경이 가능하다.
예를 들면 개구부로서의 메인트넌스구를 개폐하는 개폐수단은 문의 구조로 구성하는데 국한하지 않고 커버 등의 구조로 구성해도 된다.
포드 오프너의 설치 장소와 이재실 메인트넌스구의 개설 장소는 도 1에 보이는 것과 같이 동일한 면으로 할 수도 있고 상하로 놓아도 무방하다.
보트가 대기하는 대기실은 로드록실에 구성하는데 국한하지 않고 대기압을 유지할 수 있는 기밀실로 구성해도 된다.
한편, 이재실 내부에 설치되어 있는 노치 맞춤 장치나 웨이퍼 이재장치, 내압케이싱의 웨이퍼 반입반출구를 개폐하는 게이트 밸브 등은 탈부착 가능하여, 변환 또는 이재실 외에서 메인트넌스할 때 이들을 떼어 내어 이재실 메인트넌스구를 통해 이재실 바깥으로 반출할 수 있도록 이재실 메인트넌스구 및 이재실 메인트넌스문의 치수를 구성하면 메인트넌스 작업이 유효하고 쉽게 된다.
상기 실시예에서는 배치식 CVD 장치의 경우에 대해서 설명했으나 본 발명은 이에 국한하지 않고 기판처리장치 전반에 적용할 수 있다.
본 발명에 따르면 이재실의 메인트넌스 작업을 이재실의 배면에 있어서 로드록실이 배설되지 않는 곳에 배설된 개구부를 통해 실시할 수 있으므로, 이재실의 측면에 메인트넌스 문이 설치된 경우 이재실의 옆쪽에 필요한 메인트넌스 지역과 작업자가 출입하기 위한 통로 부분을 생략할 수 있다. 그 결과 기판처리장치 풋프린트를 감소시킬 수 있다. 또한 로드록실의 게이트 밸브를 크게 설정하지 않아도 된다.

Claims (20)

  1. 로드록실과 이재실(移載室)이 케이싱(筐體) 내부에 배면측으로부터 순차로 배설(配設)되어 있음과 동시에 상기 로드록실의 위쪽에 배설되어 기판을 처리하는 처리실을 구비하고 있으며, 상기 이재실의 배면측에 있어서 상기 로드록실이 배설되어 있지 않은 곳에는 개구부와 그 개구부를 개폐하는 개폐수단이 배설되어 있는 것을 특징으로 하는 기판처리장치.
  2. 제1항에 있어서, 상기 개구부는 상기 이재실 내부를 메인트넌스(maintenance)하기 위해 설치되어 있는 것을 특징으로 하는 기판처리장치.
  3. 제2항에 있어서, 상기 개구부는 사람이 통과할 수 있는 크기로 설정되어 있는 것을 특징으로 하는 기판처리장치.
  4. 제2항에 있어서, 상기 개폐수단은 상기 케이싱 외면과 접하고 있는 것을 특징으로 기판처리 장치.
  5. 기판을 기판보지구(保持具)에 보유하면서 대기시키는 대기실과 이재실과 상기 기판을 수용하는 캐리어를 재치하는 캐리어 재치 수단이 케이싱 내부에 배면 측으로부터 순차로 배설되어 있음과 동시에 상기 대기실의 위쪽에 배설되어 상기 기 판을 처리하는 처리실을 구비하고 있으며, 상기 기판보지구에 보지된 상기 기판의 중심과 상기 캐리어 재치 수단에 재치 된 상기 캐리어의 상기 기판의 중심을 잇는 선이 상기 케이싱의 폭 방향의 중심선에 대하여 한쪽으로 치우쳐 있고, 반대 쪽의 상기 이재실의 정면 측 또는 배면 측에는 개구부와 그 개구부를 개폐하는 개폐수단이 배설되어 있는 것을 특징으로 하는 기판처리장치.
  6. 제5항에 있어서, 상기 이재실 내부의 한쪽에는 상기 기판을 이재하는 기판 이재장치가 배치되고 다른 쪽에는 상기 기판을 정합하는 기판 정합장치가 배치되어 있는 것을 특징으로 하는 기판처리장치.
  7. 제6항에 있어서, 상기 기판 이재장치의 수평방향의 회전 중심은 상기 선 상에 배치되어 있는 것을 특징으로 하는 기판처리장치.
  8. 제5항에 있어서, 상기 개구부는 상기 이재실 내부를 메인트넌스하기 위해 설치되어 있는 것을 특징으로 하는 기판처리장치.
  9. 제6항에 있어서, 상기 개구부는 상기 기판 이재장치 및 상기 기판 정합장치를 메인트넌스하기 위해 설치되어 있는 것을 특징으로 하는 기판처리장치.
  10. 제8항에 있어서, 상기 개구부는 사람이 통과할 수 있는 크기로 설정되어 있 는 것을 특징으로 하는 기판처리장치.
  11. 제10항에 있어서, 상기 대기실은 로드록실인 것을 특징으로 하는 기판처리 장치.
  12. 제5항에 있어서, 상기 이재실에는 상기 이재실의 공기를 청정하는 크린 유닛와 상기 기판을 정합하는 기판 정합장치와 상기 기판을 이재하는 기판 이재장치가 상기 크린 유닛으로부터 흘러 나오는 가스의 흐름 방향으로 순차로 배설되어 있는 것을 특징으로 하는 기판처리장치.
  13. 제12항에 있어서, 상기 개구부는 상기 기판 이재장치 및 상기 기판 정합장치를 메인트넌스하기 위해 설치되어 있는 것을 특징으로 하는 기판처리장치.
  14. 제5항에 있어서, 상기 대기실은 로드록실인 것을 특징으로 하는 기판처리 장치.
  15. 제1항에 있어서, 상기 이재실에는 상기 이재실의 분위기를 청정하는 크린 유닛을 구비하고, 상기 개구부 및 개폐수단은 수평방향에서 볼 때 상기 크린 유닛 측에 가까워짐에 따라 상기 이재실의 공간을 점차 작게 하도록 배치되어 있는 것을 특징으로 하는 기판처리장치.
  16. 제5항에 있어서, 상기 이재실에는 상기 이재실의 분위기를 청정하는 크린 유닛을 구비하고, 상기 개구부 및 개폐수단은 수평방향에서 볼 때 상기 크린 유닛 측에 가까워짐에 따라 상기 이재실의 공간을 점차 작게 하도록 배치되어 있는 것을 특징으로 하는 기판처리장치.
  17. 제12항에 있어서, 상기 개구부 및 개폐수단은 수평방향에서 볼 때 상기 크린 유닛 측에 가까워짐에 따라 상기 이재실의 공간을 점차 작게 하도록 배치되어 있는 것을 특징으로 하는 기판처리장치.
  18. 제2항에 있어서, 상기 로드록실과 상기 이재실이 상기 케이싱 내부에 배면측으로부터 순차로 배설되어 있고, 상기 로드록실이 상기 케이싱의 폭 방향의 중심선에 대하여 한쪽으로 치우쳐 있고, 반대쪽의 상기 이재실의 배면측에는 개구부와 그 개구부를 개폐하는 개폐수단이 배설되어 있는 것을 특징으로 하는 기판처리장치.
  19. 제18항에 있어서, 상기 로드록실의 배면 측에는 상기 개구부와 그 개구부를 개폐하는 개폐수단이 배설되어 있는 것을 특징으로 하는 기판처리장치.
  20. 로드록실과 이재실이 케이싱 내부에 배면측으로부터 순차로 배설되어 있음과 동시에, 상기 로드록실의 위쪽에 배설되어 기판을 처리하는 처리실을 구비하고 있으며, 상기 이재실의 배면측에 있어서 상기 로드록실이 배설되어 있지 않은 곳에는 개구부와 그 개구부를 개폐하는 개폐수단이 배설되어 있는 기판처리장치를 이용하여 상기 기판을 처리하는 반도체장치의 제조방법으로서,
    상기 개폐수단이 상기 개구부를 닫은 상태에서 상기 이재실로부터 상기 로드록실로 상기 기판을 반입하는 단계와,
    상기 로드록실을 감압하고, 상기 로드록실로부터 상기 처리실로 상기 기판을 반입하는 단계와,
    상기 기판을 처리하는 단계
    를 포함하는 것을 특징으로 하는 반도체장치의 제조방법.
KR1020067018841A 2004-07-13 2005-06-27 기판처리장치 및 반도체장치의 제조방법 KR100831933B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2004-00205577 2004-07-13
JP2004205577 2004-07-13

Publications (2)

Publication Number Publication Date
KR20060126602A KR20060126602A (ko) 2006-12-07
KR100831933B1 true KR100831933B1 (ko) 2008-05-23

Family

ID=35783724

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067018841A KR100831933B1 (ko) 2004-07-13 2005-06-27 기판처리장치 및 반도체장치의 제조방법

Country Status (4)

Country Link
US (1) US9111972B2 (ko)
JP (2) JP4559427B2 (ko)
KR (1) KR100831933B1 (ko)
WO (1) WO2006006377A1 (ko)

Families Citing this family (292)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4606388B2 (ja) * 2006-06-12 2011-01-05 川崎重工業株式会社 基板移載装置の搬送系ユニット
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8895943B2 (en) * 2010-12-14 2014-11-25 Mapper Lithography Ip B.V. Lithography system and method of processing substrates in such a lithography system
JP2012169534A (ja) * 2011-02-16 2012-09-06 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8888434B2 (en) 2011-09-05 2014-11-18 Dynamic Micro System Container storage add-on for bare workpiece stocker
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9997384B2 (en) * 2011-12-01 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for transporting wafers between wafer holders and chambers
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR20150060086A (ko) * 2013-11-25 2015-06-03 주식회사 테라세미콘 클러스터형 배치식 기판처리 시스템
US9287151B2 (en) * 2014-01-10 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd Systems and method for transferring a semiconductor substrate
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR101998578B1 (ko) * 2015-08-04 2019-07-10 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
JP6891252B2 (ja) * 2016-06-30 2021-06-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
CN117855106A (zh) 2016-06-30 2024-04-09 株式会社国际电气 衬底处理装置、半导体器件的制造方法及记录介质
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) * 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP7442349B2 (ja) * 2020-03-09 2024-03-04 東京エレクトロン株式会社 基板搬送システムおよびロードロックモジュール
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP2023159478A (ja) * 2020-09-25 2023-11-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07130721A (ja) * 1993-10-29 1995-05-19 Tokyo Electron Ltd 処理装置
JPH07297257A (ja) * 1994-04-22 1995-11-10 Tokyo Electron Ltd 処理装置
JPH10242232A (ja) 1997-02-28 1998-09-11 Kokusai Electric Co Ltd 半導体製造装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5277579A (en) * 1991-03-15 1994-01-11 Tokyo Electron Sagami Limited Wafers transferring method in vertical type heat treatment apparatus and the vertical type heat treatment apparatus provided with a wafers transferring system
JP3150620B2 (ja) 1995-08-05 2001-03-26 株式会社日立国際電気 基板処理装置
JP2000269299A (ja) * 1999-03-18 2000-09-29 Kokusai Electric Co Ltd 半導体製造装置
JP3540978B2 (ja) 2000-04-11 2004-07-07 光洋サーモシステム株式会社 半導体ウェハの熱処理装置
JP4342745B2 (ja) * 2000-09-27 2009-10-14 株式会社日立国際電気 基板処理方法および半導体装置の製造方法
JP2002246445A (ja) 2001-02-16 2002-08-30 Hitachi Kokusai Electric Inc 基板処理装置
JP2003007800A (ja) * 2001-06-21 2003-01-10 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2003092329A (ja) * 2001-09-18 2003-03-28 Hitachi Kokusai Electric Inc 基板処理装置
JP2003203961A (ja) * 2002-01-04 2003-07-18 Hitachi Kokusai Electric Inc 基板処理装置
JP4369159B2 (ja) 2003-05-26 2009-11-18 株式会社日立製作所 真空処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07130721A (ja) * 1993-10-29 1995-05-19 Tokyo Electron Ltd 処理装置
JPH07297257A (ja) * 1994-04-22 1995-11-10 Tokyo Electron Ltd 処理装置
JPH10242232A (ja) 1997-02-28 1998-09-11 Kokusai Electric Co Ltd 半導体製造装置

Also Published As

Publication number Publication date
JP4763841B2 (ja) 2011-08-31
US9111972B2 (en) 2015-08-18
JPWO2006006377A1 (ja) 2008-04-24
WO2006006377A1 (ja) 2006-01-19
JP2010283356A (ja) 2010-12-16
US20080236488A1 (en) 2008-10-02
JP4559427B2 (ja) 2010-10-06
KR20060126602A (ko) 2006-12-07

Similar Documents

Publication Publication Date Title
KR100831933B1 (ko) 기판처리장치 및 반도체장치의 제조방법
JP4891199B2 (ja) 基板処理装置および半導体装置の製造方法
US20040052618A1 (en) Semiconductor device producing apparatus and producing method of semiconductor device
KR102592920B1 (ko) 로드락 모듈 및 이를 포함하는 반도체 제조 장치
KR101400157B1 (ko) 기판처리장치, 기판처리설비 및 기판처리방법
JPWO2007018139A1 (ja) 半導体装置の製造方法および基板処理装置
US11430679B2 (en) Semiconductor manufacturing apparatus
US20090269937A1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP2002359237A (ja) 基板処理装置および半導体装置の製造方法
KR101004031B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP2007088177A (ja) 基板処理装置
JP2004119627A (ja) 半導体製造装置
JP2005347667A (ja) 半導体製造装置
KR20200108467A (ko) 처리 장치, 배기 시스템, 반도체 장치의 제조 방법
JP2003092329A (ja) 基板処理装置
JP7454714B2 (ja) 基板処理装置
JP2005093928A (ja) 基板処理装置
JP2006134901A (ja) 基板処理装置
JP2007242764A (ja) 基板処理装置
JP2012043978A (ja) 基板処理装置及び基板移載方法
JP2004040043A (ja) 基板処理装置
JP2003203961A (ja) 基板処理装置
JP2005142478A (ja) 基板処理装置
JP2005243768A (ja) 基板処理装置
JP2008078218A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130502

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140418

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150416

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160418

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170421

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180503

Year of fee payment: 11