KR101058326B1 - 원자층 증착 및 화학기상 증착을 위한 배치 프로세싱 플랫폼 - Google Patents

원자층 증착 및 화학기상 증착을 위한 배치 프로세싱 플랫폼 Download PDF

Info

Publication number
KR101058326B1
KR101058326B1 KR1020097001296A KR20097001296A KR101058326B1 KR 101058326 B1 KR101058326 B1 KR 101058326B1 KR 1020097001296 A KR1020097001296 A KR 1020097001296A KR 20097001296 A KR20097001296 A KR 20097001296A KR 101058326 B1 KR101058326 B1 KR 101058326B1
Authority
KR
South Korea
Prior art keywords
cassette
transfer
substrate
processing
robot
Prior art date
Application number
KR1020097001296A
Other languages
English (en)
Other versions
KR20090024275A (ko
Inventor
아론 웹
아담 브라이러브
죠셉 유도브스키
닐 메리
앤드류 콘스탄트
에프레인 퀘일즈
마이클 알. 라이스
게리 제이. 로젠
비네이 샤
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090024275A publication Critical patent/KR20090024275A/ko
Application granted granted Critical
Publication of KR101058326B1 publication Critical patent/KR101058326B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Abstract

본 발명의 실시예는 최소화된 풋프린트 및 높은 생산량으로 기판을 ALD 또는 CVD 프로세싱하는데 이용될 수 있는 배치 프로세싱 플랫폼을 제공한다. 일 실시예에서, 프로세싱 플랫폼은 대기압 이송 영역, 버퍼 챔버 및 스테이징 플랫폼을 구비하는 하나 이상의 배치 프로세싱 챔버, 그리고 상기 이송 영역 내에 배치된 이송 로봇을 포함하며, 상기 이송 로봇은 다수의 기판 핸들링 블레이드를 포함하는 하나 이상의 기판 이송 아암을 구비한다. 플랫폼은 두 개의 배치 프로세싱 챔버들을 포함할 수 있으며, 상기 프로세싱 챔버들은 이송 로봇 및 증착 스테이션에 대해서 필요한 서비스 접근을 제공하기 위해서 상기 챔버들 사이에 배치된 서비스 통로를 구비하도록 구성된다. 다른 실시예에서, 프로세싱 플랫폼은 하나 이상의 배치 프로세싱 챔버, FOUP와 프로세싱 카셋트 사이에서 기판을 이송하도록 구성된 기판 이송 로봇, 그리고 카셋트 핸들러 로봇을 수용하는 카셋트 이송 영역을 포함한다. 카셋트 핸들러 로봇은 선형 액츄에이터 또는 회전식 테이블일 수 있다.

Description

원자층 증착 및 화학기상 증착을 위한 배치 프로세싱 플랫폼{BATCH PROCESSING PLATFORM FOR ALD AND CVD}
개략적으로 설명하면, 본 발명의 실시예는 기판을 프로세싱하기 위한 장치에 관한 것이다. 보다 구체적으로, 본 발명은 기판에 대해서 원자층 증착(ALD) 및 화학기상 증착(CVD)을 실행하기 위한 배치 프로세싱 플랫폼에 관한 것이다.
반도체 소자를 형성하는 프로세스는 다수의 챔버를 포함하는 기판 프로세싱 플랫폼에서 일반적으로 실시된다. 일부 예에서, 다수-챔버 프로세싱 플랫폼 또는 클러스터 툴(cluster tool)의 목적은 제어된 분위기 내에서 기판에 대해서 둘 이상의 프로세스를 연속적으로 실시하기 위한 것이다. 그러나, 다른 예에서, 다수 챔버 프로세싱 플랫폼은 기판에 대해서 하나의 프로세싱 단계만을 실행할 수 있을 것이며; 이때 부가적인 챔버들은 플랫폼에 의해서 기판이 프로세싱되는 속도를 최대화하기 위한 것이다. 후자의 경우에, 기판들에 대해서 실시되는 프로세스가 통상적으로 배치 프로세스가 되며, 이때 비교적 많은 수의 기판, 예를 들어 25 또는 50개의 기판이 주어진 챔버 내에서 동시에 프로세싱된다. 특히, 배치 프로세싱은 경제적인 방식으로 개별적인 기판에 대해서 실시되어야 하고 많은 시간이 소요되는 프로세스에서 특히 유리하며, 그 예로서 ALD 프로세스 및 일부 화학기상 증착(CVD) 프로세스가 있다.
기판 프로세싱 플랫폼, 또는 시스템의 효율은 COO(소유비용: Cost of Ownership)에 의해서 주로 정량화된다. 비록 COO가 많은 인자들에 의해서 영향을 받지만, 그러한 COO는 시스템의 생산량(throughput), 즉 시간당 프로세싱되는 기판의 수 그리고 시스템의 풋프린트(점유 면적; footprint), 즉 제조 설비 내의 시스템의 작동에 필요한 총 바닥 공간에 의해서 크게 영향을 받는다. 통상적으로, 풋프린트는 유지보수에 필요한 시스템에 인접한 접근 영역을 포함한다. 그에 따라, 기판 프로세싱 플랫폼이 비교적 작더라도, 그러한 플랫폼이 운전 및 유지보수를 위한 모든 측면으로부터의 접근로를 필요로 하는 경우에, 시스템의 효과적인 풋프린트는 여전히 상당히 클 것이다.
프로세스 변동성(variability)에 대한 반도체 산업계의 허용공차(tolerance)는 반도체 소자의 크기 축소에 따라서 계속적으로 감소되고 있다. 이러한 보다 엄격해진 프로세스 요건들을 충족시키기 위해서, 산업계에서는 보다 엄격한 프로세스 윈도우(범위; window) 요건들을 충족시키는 많은 새로운 프로세스들을 개발하였으나, 이들 프로세스는 완료시까지 시간이 많이 소요되는 경우가 많다. 예를 들어, 고종횡비, 65nm 또는 그 이하의 인터커넥트 피쳐의 기판 상에 구리 확산 배리어 층을 등각적으로(conformally) 형성하기 위해서, ALD 프로세스를 이용할 필요가 있을 수 있다. ALD는 CVD의 변형으로서 CVD에 비해서 우수한 단차 피복을 나타낸다. ALD는 전자발광(electroluminescent) 디스플레이를 제조하기 위해서 원래 이용되었던 원자 층 에피택시(ALE)를 기초로 한다. ALD는 기판 표면에 반응성 전구 체(precursor) 분자의 포화된 단일층을 부착하기 위해서 화학흡착을 이용한다. 이는, 적절한 반응성 전구체들의 펄싱(pulsing)을 증착 챔버 내로 교호화(alternating)시킴으로써 달성된다. 새로운 원자 층을 이전에 증착된 층들로 제공하여 기판 상에 균질한 층을 형성하기 위해서, 반응성 전구체의 각각의 주입은 통상적으로 불활성 가스 퍼지에 의해서 분리된다. 원하는 두께까지 층을 형성하기 위해서 사이클이 반복된다. ALD 기술에서의 가장 큰 단점은, 통상적인 CVD 기술에 비해서 증착 속도가 10배 이상 느리다는 것이다. 예를 들어, 기판의 표면 상에 고품질 층을 증착하기 위해서, 일부 ALD 프로세스는 약 10 내지 200 분의 챔버 프로세싱 시간을 필요로 할 수 있다. 소자 성능 요건에 의해서 그러한 프로세스의 선택이 강제되는 경우에, 낮은 기판 생산량으로 인해서 종래의 단일 기판 프로세싱 챔버에서의 소자 제조 비용은 높아질 것이다. 그에 따라, 그러한 프로세스를 실시할 때, 배치 프로세싱 방식은 그러한 프로세스들이 경제적이 될 수 있게 한다.
그에 따라, ALD 및 CVD 용도를 위한 배치 프로세싱 플랫폼이 요구되고 있으며, 상기 플랫폼은 생산량을 최대화할 수 있고 풋프린트를 최소화할 수 있어야 할 것이다.
본 발명의 실시예는 최소화된 풋프린트 및 높은 생산량으로 기판을 ALD 또는 CVD 프로세싱하는데 이용될 수 있는 배치 프로세싱 플랫폼을 제공한다. 일 실시예에서, 프로세싱 플랫폼은 대기압 이송 영역, 버퍼(buffer) 챔버 및 스테이징(staging) 플랫폼을 구비하는 하나 이상의 배치 프로세싱 챔버, 그리고 상기 이송 영역 내에 배치된 이송 로봇을 포함하며, 상기 이송 로봇은 다수의 기판 핸들링 블레이드를 포함하는 하나 이상의 기판 이송 아암을 구비한다. 이송 로봇은 프로세싱 카셋트와 스테이징 카셋트 사이에서 기판을 이송하도록 구성될 수 있고 그리고 추가적으로 두 개의 바아 링키지(bar linkage) 로봇으로 구성될 수도 있을 것이다. 플랫폼은 두 개의 배치 프로세싱 챔버들을 포함할 수 있으며, 상기 프로세싱 챔버들은 이송 로봇 및 증착 스테이션에 대해서 필요한 서비스 접근을 제공하기 위해서 상기 챔버들 사이에 배치된 서비스 통로를 구비하도록 구성된다. 유체 공급 시스템이 하나 이상의 배치 프로세싱 챔버의 내부 프로세스 체적부(volume)와 유체 연통할 수 있으며 인접한 설비 타워(facilities tower) 내에 위치될 수 있다. FOUP(후프; Front Opening Uniform Pod) 운영 시스템이 플랫폼에 인접하여 위치될 수 있다.
다른 실시예에서, 프로세싱 플랫폼은 하나 이상의 배치 프로세싱 챔버, FOUP와 프로세싱 카셋트 사이에서 기판을 이송하도록 구성된 기판 이송 로봇, 그리고 카셋트 핸들러 로봇을 포함하는 카셋트 이송 영역을 포함한다. 카셋트 이송 영역은 대기압 하에서 유지될 것이고, 카셋트 핸들러 로봇은 회전식 테이블 또는 수직 승강될 수 있는 선형 액츄에이터일 수 있다. 그 대신에, 카셋트 이송 영역은 대기압 이하에서 유지될 수 있고 그리고 기판 이송 로봇에 인접하여 프로세싱 카셋트를 지지하도록 구성된 하나 이상의 로드 록(load locks)을 추가로 포함할 수 있다. 이러한 측면에서, 카셋트 핸들러 로봇이 수직 승강될 수 있는 선형 액츄에이터 또는 수직 승강될 수 있는 회전식 테이블일 수 있다. 하나의 형태에서, 플랫폼이 두 개의 로드 록 및 두 개의 배치 프로세싱 챔버를 포함할 수 있고 그리고 회전식 테이블이 카셋트를 각각의 로드 록 아래쪽에 그리고 각각의 증착 챔버 아래쪽에 회전식으로 배치할 수 있도록 구성되고 그리고 카셋트 이송 영역과 증착 챔버 사이에서 및 카셋트 이송 영역과 로드 록 사이에서 카셋트를 수직으로 이송시키도록 구성된다. 유체 전달 시스템은 하나 이상의 배치 프로세싱 챔버의 내부 프로세스 체적부와 유체 연통할 수 있으며 그리고 인접한 설비 타워 내에 배치될 수 있다. FOUP 운영 시스템이 플랫폼에 인접하여 위치될 수 있다.
본 발명의 전술한 특징들이 보다 구체적으로 이해될 수 있도록, 첨부 도면에 일부가 도시된 실시예들을 참조하여 본 발명에 대해서 보다 구체적으로 설명한다. 그러나, 첨부 도면들은 본 발명의 단지 전형적인 실시예들을 도시한 것이며, 그에 따라 본 발명의 범위를 제한하는 것이 아님을 주지하여야 하며, 본 발명은 다른 균등 실시예들도 포함할 수 있다는 것을 주지하여야 한다.
도 1a는 기판 이송을 위한 다수 아암형 로봇을 이용하는 배치 프로세싱 플랫폼의 평면도이다.
도 1b는 도 1a의 배치 프로세싱 시스템의 사시도이다.
도 1c는 팩토리 인터페이스(factory interface), 반응기, 버퍼 챔버, 및 스테이징 플랫폼을 도시하는 배치 프로세싱 시스템의 수직 단면도이다.
도 1d는 2-카셋트 회전식 테이블에 의해서 각각 서빙되는 두 개의 배치 프로세싱 스테이션을 포함하도록 구성된 배치 프로세싱 시스템의 평면도이다.
도 1e는 배치 프로세싱 스테이션의 단면도이다.
도 1f는 팩토리 인터페이스에서 사용될 수 있는 로봇 조립체의 하나의 구성을 도시한 도면이다.
도 1g는 한번에 하나의 기판을 이송하도록 구성될 수 있는 이송 로봇을 포함하는 로봇 하드웨어 조립체의 구성을 도시한 도면이다.
도 1h는 서로에 대해서 반대로 배향되어 위치되는 두 개의 이송 로봇을 포함하는 로봇 하드웨어 조립체의 하나의 구성을 도시한 도면이다.
도 1i는 5개의 블레이드 로봇 아암을 도시한 도면이다.
도 1j는 단일 블레이드형 이송 로봇과 다수 블레이드형 이송 로봇을 포함하는 로봇 하드웨어 조립체의 바람직한 구성을 도시한 도면이다.
도 1k는 데카르트(cartesian) 로봇의 클리어런스(clearance) 영역을 도시한 도면이다.
도 1l은 종래 로봇의 클리어런스 영역을 도시한 도면이다.
도 1m은 도 개의 바아 링키지 로봇의 하나의 구성을 도시한 단면도이다.
도 1n은 전구체 전달 시스템의 하나의 구성을 도시한 개략도이다.
도 1o는 시스템의 상부에 위치된 전구체 전달 시스템을 구비하는 배치 프로세싱 시스템의 사시도이다.
도 1p는 예시적인 스토커(stocker) 장치의 측면도이다.
도 1q는 도 1p의 스토커 장치의 정면도이다.
도 2a는 배치 프로세싱 플랫폼의 평면도이다.
도 2b는 배치 프로세싱 플랫폼의 측면도이다.
도 2c는 배치 프로세싱 시스템의 사시도이다.
도 2d는 배치 프로세싱 시스템의 사시도이다.
도 3a는 배치 프로세싱 플랫폼의 평면도이다.
도 3b는 배치 프로세싱 플랫폼의 측면도이다.
도 4a는 배치 프로세싱 플랫폼의 평면도이다.
도 4b는 배치 프로세싱 플랫폼의 측면도이다.
도 5는 배치 프로세싱 플랫폼의 평면도이다.
ALD 및 CVD 용도를 위한 배치 프로세싱 플랫폼이 제공되며, 이때 생산량이 최대화되고 풋프린트가 최소화된다. 하나의 실시예에서, 생산량은 기판 이송을 위해서 다수 아암형 로봇을 이용함으로써 개선된다. 다른 실시예에서, 생산량 개선을 위해서 카셋트 핸들러 로봇을 이용하여 전체 카셋트를 이송한다.
다수 아암형 로봇 플랫폼
이러한 실시예에서, 이송 시간의 감소를 위해서 다수의 블레이드를 가지도록 구성된 아암을 이용함으로써, 다수의 아암을 가지는 로봇이 스테이징 카셋트와 프로세싱 카셋트 사이에서 기판을 이송한다. 프로세싱 챔버가 기판 이송 동안에 아이들링하기 때문에, 기판을 프로세싱 카셋트 내외로 이송하는데 필요한 시간을 최소화하는 것이 시스템 생산량의 측면에서 유리할 것이다. 또한, 기판 이송 포 드(pod)와 스테이징 카셋트 사이에서 기판 간격 편차를 수용하기 위한 단일 블레이드를 구비하도록 구성된 다른 아암을 이용하여, 로봇은 기판 이송 포드와 스테이징 카셋트 사이에서 기판을 이송한다. 구성들에는 데카르트 로봇-베이스(based) 플랫폼이 포함되고 또 두 개의 배치 프로세싱 챔버 및 그 사이의 공통 접근 공간을 구비하는 것도 포함되며, 상기 공통 접근 통로는 플랫폼에 대한 측면 접근로가 없이도 유지 보수를 위해서 플랫폼의 모든 부품들에 접근할 수 있도록 허용한다.
도 1a는 본 발명의 일 측면을 도시한 평면도로서, 기판 이송을 위한 다수 아암형 로봇을 이용하는 배치 프로세싱 플랫폼을 도시하며, 이하에서는 상기 배치 프로세싱 플랫폼을 시스템(100)으로 지칭한다. 시스템(100)은 하나 이상의 배치 프로세싱 스테이션(101A, 101B), 시스템 제어부(111), 팩토리 인터페이스(FI; 102), 그리고 설비 타워(130)에 수용될 수 있는 프로세스 유체 전달 시스템을 포함하며, 상기 팩토리 인터페이스는 이송 로봇 조립체(103) 및 하나 이상의 로드 스테이션(104A-C)을 포함한다. 설명을 위해서, 이송 로봇 조립체(103)를 동시에 3 위치에서 즉, 로드 스테이션(104A-104C)에 인접한 위치, 반응기(121A)에 인접한 위치 및 반응기(121B)에 인접한 위치에서 도시하였다. 배치 프로세싱 스테이션(101A, 101B)들은 팩토리 인터페이스(102)에 인접하고 서로에 대해서 근접하게 위치되어 시스템(100)의 전체적인 풋프린트를 최소화시키고, 로드 스테이션(104A-104C)과 배치 프로세싱 스테이션(101A, 101B) 사이에서 기판을 이송할 때 이송 로봇 조립체(103)가 통과하여야 하는 거리를 최소화시킨다. 두 개의 배치 프로세싱 스테이션(101A, 101B)이 도 1a에 도시되어 있지만, 추가적인 스테이션들이 용이하게 부가 될 수도 있을 것이다. 설비 타워(130)는 배치 프로세싱 스테이션(101B) 및 팩토리 인터페이스(102)로부터의 서비스 거리(137)에 위치될 것이고 오버헤드 랙(overhead rack; 140)을 통해서 시스템(100)의 다른 부품들에 연결될 것이다. 서비스 거리(137)는 이송 로봇 조립체(103)를 서비스하기 위해서 접근 도어(135A)가 개방될 수 있게 허용한다.
배치 프로세싱 스테이션(101A, 101B)은 다양한 기판 그룹에 대해서 동시에 동일한 배치 프로세스를 실시하도록 구성될 수 있고, 또는 동일한 기판 그룹에 대해서 두 개의 서로 상이한 배치 프로세스를 연속적으로 실시하도록 구성될 수도 있다. 전자의 구성에서, 배치 프로세싱 스테이션(101A, 101B) 내외로의 기판 이송과 관련된 아이들 시간을 최소화하기 위해서, 각 배치 프로세싱 스테이션에서 기판 프로세싱을 위한 시작 시간이 단계화(staged), 즉 교호적(alternated)이 될 수 있으며; 이송 로봇 조립체(103)는 한번에 하나의 배치 프로세싱 스테이션을 로딩 또는 언로딩할 필요가 있을 것이다. 후자의 구성에서, 기판 그룹은 하나의 배치 프로세싱 스테이션에서 제 1 배치 프로세스를 거치고 이어서 다른 배치 프로세싱 스테이션에서 제 1 배치 프로세스를 거치게 도리 것이다. 그 대신에, 배치 프로세싱 스테이션들 및 단일-기판 프로세싱 스테이션들을 조합하여 시스템(100)을 구성할 수도 있을 것이다. 이러한 시스템(100)의 구성은, 불안정한 배치 필름이 캡핑(capping) 프로세스와 같은 일부 사후(post) 프로세싱 형태를 요구하는 경우에 특히 유용한데, 이는 배치식으로-프로세싱된 기판들에 대해서 희망하는 사후-프로세싱을 즉각적으로 실시할 수 있기 때문일 것이다.
일반적인 작동 중에, 기판들은 로드 스테이션(104A-104C) 상에 위치되는 FOUP 내에서 시스템(100)으로 통상적으로 이송된다. 이송 로봇 조립체(103)는 제 1 배치 기판을 배치 프로세싱 스테이션에 인접한 스테이징 카셋트로 이송하는 동안, 배치 프로세싱 스테이션은 프로세싱 카셋트 내에서 제 2 배치 기판을 프로세싱한다. 이송 로봇 조립체(103)는 단일 블레이드를 가지도록 구성된 로봇 아암을 이용하여 FOUP와 스테이징 플랫폼 사이의 이송을 실시할 것이다. 프로세싱 후에, 다수의 블레이드를 가지는 로봇 아암을 이용하는 이송 로봇 조립체(103)에 의해서 기판들이 스테이징 카셋트와 희망하는 프로세싱 카셋트 사이에서 교환될 것이다. 만약, 단일-기판 프로세싱 챔버들이 시스템(100) 상에 존재한다면, 이송 로봇 조립체(103)는 단일 블레이드를 가지는 로봇 아암을 이용하여 단일-기판 프로세싱 챔버와 적절한 스테이징 플랫폼 사이에서 기판들을 이송할 것이다.
동일한 기판 그룹에 대해서 순차적 배치 프로세스들을 실시하는 시스템(100)의 구성에서, 기판들은 프로세싱에 앞서서 제 1 스테이징 카셋트로부터 배치 프로세싱 스테이션으로 이송될 것이고 이어서 프로세싱 후에 제 2 스테이징 카셋트로 이송될 것이다. 예를 들어, 이송 로봇 조립체(103)은 제 1 배치 프로세스를 위해서 스테이징 플랫폼(123A)으로부터 배치 프로세싱 스테이션(101A)으로 기판 그룹을 이송할 것이다. 제 1 배치 프로세스의 완료시에, 이송 로봇 조립체(103)는 기판 그룹을 배치 프로세싱 스테이션(101A)으로부터 스테이징 카셋트(123B)로 이송한다. 프로세싱에 대해서 배치 프로세싱 스테이션(101B)이 이용가능한 경우에, 이송 로봇 조립체(103)는 기판 그룹을 스테이징 플랫폼(123B)으로부터 제 2 배치 프로세스를 위한 배치 프로세싱 스테이션(101B)으로 이송한다. 전술한 바와 같이, 다수의 블레이드를 구비하도록 구성된 로봇 아암을 이용하여 스테이징 카셋트와 배치 프로세싱 스테이션 사이에서 이송을 하는데, 이는 그들 사이에 기판 간격의 편차가 없기 때문이다.
배치 프로세싱 스테이션
도 1b는, 명료함을 위해서 접근 패널(120A, 120B) 및 설비 타워(130)를 제거한 상태로, 시스템(100)을 도시한 사시도이다. 도 1a 및 도 1b를 참조하면, 배치 프로세싱 스테이션(101A)은 내부 프로세스 체적부(127)를 포함하는 반응기(121A), 반응기(121A)에 인접하여 위치된 버퍼 챔버(122A), 그리고 스테이징 카셋트 (도시 하지 않음) 반응기(121A)를 지지하도록 구성된 스테이징 플랫폼(123A)을 포함한다. 유사하게, 배치 프로세싱 스테이션(101B)은 반응기(121B), 버퍼 챔버(122B), 및 스테이징 카셋트 (도시 하지 않음) 반응기(121B)를 지지하도록 구성된 스테이징 플랫폼(123B)을 포함한다.
도 1c는 시스템(100)의 수직 단면도로서, 팩토리 인터페이스(102), 반응기(121A, 121B), 버퍼 챔버(122A, 122B), 및 스테이징 플랫폼(123A, 123B)을 도시하고 있다. 바람직하게, 도 1b 및 1c에 도시된 바와 같이, 버퍼 챔버(122A, 122B)는 반응기(121A, 121B) 각각에 인접할 뿐만 아니라 수직으로 정렬되며, 그에 따라 배치 시스템(100)의 풋프린트를 최소화한다. 도 1b 및 도 1c에 도시된 구성에서, 버퍼 챔버(122A, 122B)는 반응기(121A, 121B)의 바로 아래쪽에 각각 위치된다. 버 퍼 챔버(122A, 122B)는 각각의 반응기(121A, 121B) 내외로 프로세싱 카셋트(146)를 로딩 및 언로딩하기 위한 진공 로드록으로서 작용하도록 구성된다. 버퍼 챔버(122A, 122B)는 진공 공급원에 유체적으로 커플링된다(fluidly coupled). 진공 공급원은 원격 진공 공급원일 수도 있고 또는 시스템(100)의 내부에 수용된 진공 펌프(171)일 수도 있다. 버퍼 챔버(122A, 122B)를 펌핑 배출하고 배기(venting)하는데 필요한 시간을 최소화하는 것이 중요한데, 이는 버퍼 챔버의 펌핑 배출 및 배기 동안에 반응기(121A, 121B)들이 아이들링하기 때문이다. 이러한 목적을 위해서, 펌핑 및 배기 프로세스의 속도를 높이기 위해서 프로세싱 카셋트에 필수적으로 포함되어야 하는 체적을 최소하도록 버퍼 챔버(122A, 122B)가 추가적으로 구성될 수 있을 것이다. 예를 들어, 수직으로 정렬된 컬럼(column)에서 원형 기판들을 지지하도록 구성된 프로세싱 카셋트의 경우에, 도 1b에 도시된 바와 같이, 버퍼 챔버(122A, 122B)들은 프로세싱 카셋트의 위쪽과 아래쪽에 최소 수직 간극(clearance)을 가지고 그리고 프로세싱 카셋트와 그 내부의 기판 주위의 최소 방사상 간극을 가지는 원통형 챔버로서 구성되는 것이 바람직할 것이다. 버퍼 챔버(122A, 122B)들 모두는 P600, 이송 개구부(36, 37), 및 진공-기밀(tight) 도어(156, 157)를 더 포함한다. 승강 메카니즘(600)은 공압식 액츄에이터, 스텝퍼 모터, 또는 소위 당업계에 공지된 다른 수직 액츄에이터일 수 있다.
작업 중에, 프로세싱 카셋트(146)가 스테이징 카셋트(186)로부터 이송 로봇 조립체(103)를 통해서 기판(W)과 함께 로딩되는 한편, 버퍼 챔버(본 실시예의 경우에, 버퍼 챔버(122A))가 대기중으로 배기되고 이송 개구부(36)가 이송 영역(135)으 로 개방된다. 명료함을 위해서, 5개의 블레이드(161)를 가지도록 구성된 하나의 로봇 아암(162) 만을 도 1c에 도시하였다. 기판 로딩/언로딩 프로세스에 대해서는 도 1f-1i와 관련하여 이하에서 보다 구체적으로 설명한다. 진공-기밀 도어(156)가 폐쇄되고 버퍼 챔버(122A)가 프로세싱 체적부(127) 내의 진공 레벨과 동일한 레벨, 일반적으로 약 0.5 내지 20 Torr까지 펌핑 배출된다. 이어서, 진공-기밀 도어(157)가 개방되고 승강 메카니즘(600)이 프로세싱 카셋트(146)를 기판(W)의 ALD 또는 CVD 프로세싱을 위한 프로세스 체적부(127) 내로 이송한다. 일부 ALD 및 CVD 프로세스의 경우에, 버퍼 챔버(122A) 내에서 기판(W)을 가압 사이클링(pressure cycle)하는 것이 바람직하며, 즉 버퍼 챔버(122A)를 프로세스 압력까지 펌핑 배출하고 매우 건조한 가스를 이용하여 배기하여 프로세싱 카셋트(146)와 기판(W)의 표면에 흡착된 잔류 수분을 제거하는 것을 교호적으로 실시하는 것이 바람직하다. 하나의 형태에서, 프로세싱 체적부(127) 내에서의 프로세싱 동안에, 승강 메카니즘(600)이 버퍼 챔버(122A)로 다시 낮아지고 진공-기밀 도어(156)가 폐쇄된다. 프로세싱이 완료된 후에, 승강 메카니즘(600)은 프로세싱 카셋트(146)를 버퍼 챔버(122A)로 다시 이송하고 진공-기밀 도어(156)가 폐쇄되며, 그에 따라 프로세스 체적부(127)가 버퍼 챔버(122A)로부터 격리된다. 이어서, 버퍼 챔버(122A)가 대기압으로 배기(vent)되고, 기판(W)이 스테이징 카셋트(186)로 이송되며, 그 후에 냉각되고 후속하여 시스템(100)으로부터 제거된다.
기판(W)을 스테이징 카셋트(186)로 이송하는 동안에 진공-기밀 도어(157)를 이용하여 프로세스 체적부(127)를 버퍼 챔버(122A)로부터 격리시킴으로써, 기판 배 치(batches)들 간에서 프로세스 체적부(127)가 가능한 한 프로세스 온도 및 압력에 근접하여 유지될 수 있게 된다. 이러한 것은 프로세스 반복성 및 생산량에 있어서 유리한데, 이는 프로세스 체적부(127)내의 프로세스 조건들을 희망하는 조건들로 안정화시키기 위해서 요구되는 시간이 짧아지기 때문이다. 배치 프로세싱 챔버들을 위한 프로세스 체적부(127)는 통상적인 프로세싱 카셋트(146), 예를 들어 높이가 약 1 미터(order of 1m)인 카셋트를 수용할 수 있도록 비교적 큰 크기를 가질 것이다. 이로 인해서, 대기압으로의 배기 후에 프로세스 체적부(127) 내의 온도 및 압력를 안정화시키는데는 상당한 시간이 소요될 수 있다. 그에 따라, 프로세싱 카셋트(146)와 스테이징 카셋트(186) 사이에서 기판을 이송하는 동안에 프로세스 체적부(127)를 격리시킴으로써, 챔버 아이들링 시간(본 경우에는 안정화 시간)이 상당히 감소될 수 있다. 또한, 버퍼 챔버(122A)와 반응기(121A) 사이에서 프로세싱 카셋트(146)를 이송시킴으로 인해서, 프로세스 체적부(127)로 오염물질이 유입될 가능성이 적어진다.
하나의 구성에서, 반응기의 서비스를 보조하도록 승강 메카니즘(600)이 구성될 수 있을 것이다. 도 1b를 참조하면, 승강 메카니즘(600)을 이용하여, 접근 패널(120A)로부터의 용이한 제거를 위해서 접근이 어려운 반응기(121A)의 부품들을 버퍼 챔버(122A)로 하강시킬 수 있을 것이다. 개선된 서비스 성능은 유지보수 과정 중의 시스템 중단 시간을 감소시킬 것이며, 이는 소유비용(COO)을 개선할 것이다.
프로세싱 카셋트(146) 상에 지지되는 기판(W)에 대해서 CVD 및/또는 ALD 프 로세스를 실시하도록 반응기(121A, 121B)가 구성된다. 본 발명의 일부 구성에 포함될 수 있는 ALD 또는 CVD 반응기에 관한 보다 상세한 설명이 2005년 11월 22일자로 출원되고 본 출원인에게 양도되었으며 본원의 특허청구범위에 기재된 발명과 모순되지 않는 범위 내에서 전체가 참조로서 포함되는 미국 특허출원 제 11/286,063 호에 기재되어 있다. 반응기(121A, 121B)는 필수적인 적절한 반응 전구체 및 기타 프로세스 유체를 제공하도록 구성된 프로세스 유체 전달 시스템에 유체적으로 커플링된다. 바람직하게, 도 1a에 도시된 바와 같이, 프로세스 유체 전달 시스템은 설비 타워(300) 내에 포함되고 오버헤드 랙(140)을 통해서 반응기(121A, 121B)에 커플링된다. 설비 타워(300)에 대해서는 도 1n을 참조하여 후술한다. 또한, 시스템 제어부(111)와 같은 전기 설비 및 기타 설비들이 설비 타워(300) 내에 위치될 것이다. 그 대신에, 유체 전달 시스템이 제조 공장내의 다른 영역에 원격 배치될 수 있고 그리고 지하 연결부(도시 하지 않음)를 통해서 반응기(121A, 121B)에 유체적으로 커플링될 수 있을 것이다.
도 1c를 참조하면, 스테이징 플랫폼(123A, 123B)이 팩토리 인터페이스(102) 내에 배치되고, 각 스테이징 플랫폼은 각 반응기(121A, 121B)에 인접하여 스테이징 카셋트(186)를 지지하도록 구성된다. 통상적으로, 기판은 밀봉가능한 기판 이송 포드(이하에서는, 후프(FOUP; Front Opening Uniform Pod)라 한다) 내에서 그리고 ALD 및 CVD 챔버에서의 배치 프로세싱 보다 낮은 밀도로 지지되고, 다시 말해서 FOUP에서는 기판-대-기판 간격이 10mm이고 프로세싱 카셋트(146)에서는 6mm 내지 8mm 이다. 배치 프로세싱 챔버에 근접하여 지지되는 스테이징 카셋트(186)가 프로 세싱 카셋트(146) 내에서 기판들이 지지되는 것과 동일한 기판 밀도로 기판을 지지하도록 구성될 수 있으며, 그에 따라 상당한 생산량 및 비용상의 이점을 제공할 수 있다는 것을 주지하는 것이 중요하다. 예를 들어, 도 1g와 관련하여 이하에서 설명하는 바와 같은 단순한 단일 블레이드 로봇 아암을 이용하여 스테이징 플랫폼(123A, 123B)과 로드 스테이션(104A-C) 사이에서 기판을 이송할 수 있을 것이다. 비록, 다수의 블레이드 로봇 아암을 이용하여 그 사이에서 기판을 이송하는 것이 단일 블레이드 로봇 아암을 이용하는 것 보다 빠르겠지만, 일반적으로는 기판을 단일 블레이드로 이송하는 것 보다 생산량의 측면에서 이득이 없다. 이는, 스테이징 플랫폼(123A, 123B)과 로드 스테이션(104A-C) 사이의 기판 이송이 "오프-라인(off-line)"으로 이루어지기 때문이며, 다시 말해서 반응기(121A, 121B)가 기판을 프로세싱하는 동안에 이루어지기 때문이다. 도 1a-c와 관련하여 전술한 바와 같이, 시스템 생산량에 직접적으로 영향을 미치는 이송 시간은 스테이징 플랫폼(123A, 123B)과 버퍼 챔버(122A, 122B) 사이의 이송 시간이다.
기판들이 프로세싱 카셋트(146)에서 지지되는 것과 동일한 기판 밀도로 스테이징 카셋트(186)가 기판을 지지하도록 구성될 수 있기 때문에, 도 1i와 관련하여 후술하는 바와 같이, 다수 블레이드형의 일정 피치(fixed pitch) 로봇을 이용하여 그들 사이의 기판 이송이 이루어질 수 있을 것이다. 다수 블레이드형 로봇은 기판 이송 시간을 상당히 감소시키는데, 이는 한번에 다수의 기판을 이송할 수 있기 때문이다. 그에 따라, 시스템 생산량이 상당히 개선될 수 있는데, 이는 이송 시간의 단축이 반응기 아이들 시간을 줄일 수 있기 때문이다.
비교적 많은 수의 기판, 즉, 표준형 FOUP에 수용될 수 있는 것 보다 많은 수의 기판을 지지하도록 스테이징 카셋트(186) 및 프로세싱 카셋트(146)가 구성될 수 있을 것이다. 일부 프로세스들, 예를 들어 ALD 프로세스들이 많은 시간을 필요로 하기 때문에, 하나의 배치에서 실제로 가능한 많은 기판을 프로세싱하는 것이 COO의 측면에서 유리할 것이다. 그에 따라, 스테이징 카셋트(186) 및 프로세싱 카셋트(146)는 바람직하게 약 50개 내지 약 100개의 기판으로 이루어진 하나의 배치를 지지하도록 구성된다. 보다 큰 배치도 가능할 것이나, 그렇게 큰 카세트를 신뢰가능하고 안전하게 조작하는데 있어서 곤란한 점이 많아질 것이다. 프로세싱 카셋트(146)는 원하는 프로세스의 특성에 따라서 예를 들어 석영, 실리콘 카바이드, 또는 그라파이트와 같은 임의의 고온 재료로 구성될 수 있을 것이다.
또한, 스테이징 플랫폼(123A, 123B)은 반응기(121A, 121B)로부터의 언로딩 후의 기판이 놓여져 냉각될 수 있는 냉각 플랫폼으로서의 역할을 할 수도 있을 것이다. 통상적으로, ALD 및 CVD 챔버로부터 언로딩된 기판은 너무 온도가 높아 표준형 FOUP내로 직접적으로 로딩할 수 없을 것이다. 스테이징 플랫폼(123A, 123B)은 또한 도 1c에 도시된 바와 같은 종래의 로봇 수직 이동 조립체(187)를 구비하도록 구성될 수 있다. 시스템(100)의 복잡성을 최소화하기 위해서, 스테이징 플랫폼(123A, 123B)이 고정형 부품인 것이 바람직하고 기판 전달을 위해서 필요한 수직 이동이 이송 로봇 조립체(103)에 의해서 실시되는 것이 바람직하다.
시스템(100)의 하나의 구성에서, 스테이징 플랫폼(123A, 123B) 상에서 지지되는 스테이징 카셋트(186)가 버퍼 챔버(122A, 122B) 내에 배치된 프로세싱 카셋 트(146) 보다 많은 기판 지지 선반(185)을 수용할 것이다. 이는, 제 3 의 기판 스테이징 로케이션을 이용하지 않고도 그리고 제 2 이송 로봇(86B)과 같은 부가적인 이송 로봇 조립체(도 1h와 관련하여 이하에서 설명함)를 이용하지 않고도, 기판이 스테이징 카셋트(186)와 프로세싱 카셋트(146) 사이에서 교환될 수 있게 허용한다. 예를 들어, 도 1c를 참조하면, 프로세싱 카셋트(146)는 9개의 기판 지지 선반(185)을 구비하고, 스테이징 카셋트(186)는 9개의 기판 지지 선반(185) 외에도 하나 이상의 부가적인 선반(185A)을 구비한다. 그에 따라, 이송 로봇 조립체(103)는 프로세싱된 기판(W)을 프로세싱 카셋트(146)로부터 제거하게 되고 그 기판을 사용되고 있지 않은 부가적인 선반(185A) 내에 위치시킨다. 이어서, 프로세싱되지 않은 기판이 이송 로봇 조립체(103)에 의해서 스테이징 카셋트(186)로부터 제거되어 프로세싱 카셋트(146) 내의 비어 있는 지지 선반(185)으로 옮겨지며, 그에 따라 지지 선반(185)들 중 하나가 스테이징 카셋트(186) 내에서 개방된 상태가 되게 한다. 이어서, 최초에 프로세싱 카셋트(146) 내의 모든 기판이 최초에 스테이징 카셋트(186) 내에 있던 기판들과 모두 교환될 때까지, 전술한 프로세스가 반복될 것이다. 유사한 구성에서, 스테이징 카셋트(186)와 프로세싱 카셋트(146) 사이에서 기판을 이송하기 위해서 이송 로봇 조립체(103)가 다수-블레이드 로봇 아암(도 1i와 관련하여 이하에서 설명함)을 포함하는 경우에, 부가적인 선반(185A)의 개체수가 이송 로봇 조립체(103)의 다수-블레이드 로봇 아암 상의 블레이드의 개체수와 동일한 것이 바람직하다. 이는, 전술한 바와 같은 동일한 기판 교환 과정을 가능하게 하나, 이때 다수의 기판들이 한번에 교환되어야 할 것이다.
시스템(100)의 다른 구성에서, 배치 프로세싱 동안에, 스테이징 카셋트(186)는 더미(dummy) 기판 즉, 비-제조용 기판을 지지하기 위한 다수의 부가적인 선반(185A)을 포함할 수 있다. 열적 불균일성 및 다른 인자들로 인해서, 프로세싱 카셋트의 상단부 및 하단부에 인접한 기판들이 프로세싱 카셋트 내의 대부분의 기판들에 비해서 균일하지 않게 프로세싱되는 경우가 종종 발생한다. 프로세싱 카셋트의 상단부 및 하단부의 기판 지지 선반에 하나 이상의 더미 기판을 배치하면 이러한 문제가 완화될 수 있을 것이다. 비-제조용 더미 기판은 프로세싱 카셋트(146)의 상단부의 1 내지 5개의 기판 지지 선반 그리고 바닥부의 1 내지 5개의 지지 선반에 배치된다. 더미 기판은 교체될 때까지 다수의 배치 프로세스에서, 예를 들어 약 5 내지 10 차례의 프로세스에서 사용될 수 있으며, 그에 따라 매번의 배치 프로세스의 실시 후에 시스템(100)으로부터 제거할 필요는 없다. 프로세싱 카셋트내로 더미 기판들을 다시 로딩하는데 필요한 시간을 감소시키기 위해서, 본원 발명의 일 측면에 따라 스테이징 카셋트(186) 내에서 부가적인 선반(185A) 상에 더미 기판들을 저장하는 방안도 고려할 수 있을 것이다. 그에 따라, 배치 프로세스들이 내부에서 실행되지 않을 때, 더미 기판들이 배치 프로세싱 스테이션(101A, 101B)에 인접하여 이송 영역(135)에 저장될 수 있을 것이다. 더미 기판을 프로세싱 카셋트 내로 로딩하는데 필요한 시간을 감소시키는 것에 더하여, 더미 기판을 부가적인 선반(185A)에 저장하는 것은 스토커(stocker; 150)에 저장될 필요가 있는 FOUP의 개체수를 감소시킨다(도 1b에 도시된 바와 같고 도 1p 및 도 1q를 참조하여 이하에서 설명하는 바와 같음).
하나의 구성에서, 스테이징 플랫폼(123A, 123B)은 프로세싱되지 않은 기판들의 제 1 프로세싱 카셋트를 프로세싱된 기판들의 제 2 프로세싱 카셋트와 회전식으로 교환하기 위한 2-카셋트 회전식 테이블로서 기능하도록 각각 구성된다. 도 1d에는, 2-카셋트 회전식 테이블(129A, 129B) 각각에 의해서 각각 서빙되는 두 개의 배치 프로세싱 스테이션(101A, 101B)과 함께 구성된 시스템(100)의 평면도가 도시되어 있다. 이러한 구성에서, 스테이징 카셋트(186)는 제 2 프로세싱 카셋트로서 작용한다.
프로세싱 카셋트(146) 내의 기판 배치가 배치 프로세싱 스테이션(101A)의 반응기(121A) 내에서 프로세싱되는 동안에, 스테이징 카셋트(186)에는 로드 스테이션(104A-C)으로부터의 기판이 로딩된다. 반응기(121A) 내에서 프로세싱이 완료된 후에, 프로세싱 카셋트(146)는 승강 메카니즘(명료함을 위해서 도시 하지 않음)에 의해서 회전식 테이블(129A) 상으로 하강된다. 이어서, 회전식 테이블(129A)이 180° 회전되어, 프로세싱 카셋트(146)와 스테이징 카셋트(186)의 위치를 교환한다. 프로세싱된 기판들이 이송 영역(135) 내에서 냉각되고 이어서 로드 스테이션(104A-C)에 위치된 하나 이상의 FOUP로 이송된다. 유사하게, 승강 메카니즘이 스테이징 카셋트(186)를 프로세싱을 위한 반응기(121A) 내로 이송한다. 그에 따라, 기판을 이송 영역(135)으로부터 반응기(121A)로 이송하는데 있어서 특별하게 긴 시간이 요구되지 않게 된다. 개별적인 기판들을 스테이징 카셋트와 프로세싱 카셋트 사이에서 이송하는 대신에, 시스템(100)의 이러한 구성에서는, 회전식 테이블(129A)에 의해서 스테이징 카셋트와 프로세싱 카셋트가 간단하게 교환된다. 하 나의 예에서, 도 1d와 관련하여 전술한 바와 같이, 배치 프로세싱 스테이션(101A, 101B) 각각은 반응기(121A, 121B)를 격리시키기 위한 버퍼 챔버를 포함한다.
다른 구성에서, 도 1e에 도시된 바와 같이, 회전식 테이블(129A, 129B) 각각은 버퍼 챔버(128) 내에 수용된다. 도 1e는 프로세싱 카셋트(146A)를 수용하는 반응기(121A) 그리고 2-카셋트 회전식 테이블(129A) 및 제 2 프로세싱 카셋트(146B)를 수용하는 버퍼 챔버(128)를 포함하는 배치 프로세싱 스테이션(101A)의 측단면도이다. 승강 메카니즘(600A)은 즉, 본 경우에 수직 인덱서(indexer) 로봇은 회전식 테이블(129A)과 반응기(121A) 사이에서 카셋트들을 이송한다. 프로세싱 카셋트(146A)의 프로세싱 동안에, 버퍼 챔버(128)가 대기압으로 배기되고 진공-기밀 도어(156)가 개방되어 이송 로봇 조립체(103)로부터 제 2 프로세싱 카셋트(146B)로의 접근로를 제공한다. 제 2 프로세싱 카셋트(146B)가 기판으로 로딩된 후에, 제 2 프로세싱 카셋트(146B)와 프로세싱 카셋트(146A)의 교환을 위한 준비 중에 진공-기밀 도어(156)가 폐쇄되고 버퍼 챔버(128)가 배기되거나 또는 압력 사이클링된다. 이러한 구성에 의해서, 프로세싱 카셋트를 반응기(121A)에 신속하게 다시 로딩할 수 있게 되며, 그에 따라 반응기 중단 시간을 최소화할 수 있게 된다. 버퍼 챔버(128)의 모든 펌핑 배출 및 배기는 기판들이 반응기(121A) 내에서 프로세싱되는 동안에 이루어진다.
팩토리 인터페이스
도 1c를 다시 참조하면, 팩토리 인터페이스(102)는 이송 로봇 조립체(103), 분위기(environment) 제어 조립체(110) 및 하나 이상의 로드 스테이션(104A-C)(도 1a에 도시됨)을 포함한다. 팩토리 인터페이스(102)는 팬-동력형(fan-powered) 공기 필터 유닛을 통해서 이송 영역(135)을 청정한 미니-분위기으로, 즉 국부적인 대기압의 저-오염 분위기로 유지한다. 팩토리 인터페이스(102)는 청정 분위기 즉, 이송 영역(135)을 제공하기 위한 것이며, 그러한 이송 영역 내에서 기판은 반응기(121A, 121B)와 로드 스테이션(104A-C) 중 임의의 것에 위치되는 FOUP 사이에서 이송될 수 있다. 최근에 프로세싱된 기판들 역시 시스템(100)의 외부로 그리고 FOUP 내로 이송되기에 앞서서 이송 영역(135)의 저-오염 분위기 내에서 프로세싱 후에 냉각될 수 있을 것이다.
도 1c는 팩토리 인터페이스(102), 반응기(121A, 121B), 버퍼 챔버(122A, 122B), 및 스테이징 플랫폼(123A, 123B)을 도시한 시스템(100)의 단면도이다. 명료함으로 위해서, 로드 스테이션(104A-C)은 도시하지 않았다. 일 측면에서, 분위기 제어 조립체(110)는 HEPA 필터와 같은 필터(191) 및 팬 유닛(192)을 포함할 수 있는 여과 유닛(190)을 포함한다. 팬 유닛(192)은 필터(191)를 통해서, 이송 영역(135)을 통해서, 그리고 팩토리 인터페이스(102)의 베이스(193A) 외부로 공기를 가압하도록 구성된다. 기판 프로세싱 단계들을 실시하기 위한 제어된 분위기를 보다 양호하게 제공하기 위해서, 팩토리 인터페이스(102)는 이송 영역(135)을 둘러싸는 벽(193)들을 포함한다. 일반적으로, 분위기 제어 조립체(110)는 공기 유동량, 유동 형태(regime)(예를 들어, 층류 유동 또는 난류 유동) 및 이송 영역(135) 내의 입자 오염 레벨을 제어하도록 구성된다. 일 측면에서, 분위기 제어 조립체(110) 역시 공기 온도, 상대 습도, 공기중의 정전하의 양, 그리고 다른 통상적인 프로세싱 파라미터들을 제어할 것이며, 상기 파라미터들은 소위 당업계에 공지된 종래의 청정실 가용(compatible) 가열, 환기 및 공기 조화(HVAC) 시스템의 이용에 의해서 제어될 수 있다.
로드 스테이션(104A-C)은 FOUP 또는 기타 밀봉가능한 기판 이송 포드를 지지, 개방, 및 폐쇄하도록 구성된다. 그에 따라, FOUP 및/또는 이송 영역(135)의 외부에 존재할 수 있는 오염물질에 기판을 노출시키지 않고, 로드 스테이션(104A-C)은 로드 스테이션-지지된 FOUP 내에 수용된 기판을 이송 영역(135)으로 유체적으로 커플링시킨다. 이는, 청정하고 완전히 자동화된 방식으로 기판을 제거하고, 교체하며, 그리고 FOUP 내에서 재밀봉(reseal)할 수 있게 허용한다.
데카르트 로봇
도 1f는 팩토리 인터페이스(102)내의 이송 로봇 조립체(103)로서 이용될 수 있는 로봇 조립체(11)의 일 구성을 도시한다. 일반적으로, 로봇 조립체(11)는 로봇 하드웨어 조립체(85), 수직 로봇 조립체(95) 및 수평 로봇 조립체(90)를 포함한다. 그에 따라, 기판은 시스템 제어부(111)에 의해 전송된 명령어에 의한 로봇 하드웨어 조립체(85), 수직 로봇 조립체(95), 및 수평 로봇 조립체(90)의 협력 이동에 의해서 이송 영역(135) 내의 임의의 희망하는 x, y, 및 z 위치에 배치될 수 있다.
일반적으로, 로봇 하드웨어 조립체(85)는 시스템 제어부(111)로부터 전송된 명령어의 이용에 의해서 하나 이상의 기판을 유지, 이송 및 정위치시키도록 구성된 하나 이상의 이송 로봇(86)을 포함한다. 도 1f에 도시된 구성에서, 두 개의 이송 로봇(86)은 로봇 하드웨어 조립체(85) 내에 포함된다. 바람직한 구성에서, 다양한 이송 로봇(86) 부품의 이동에 의해서, 이송 로봇(86)은 도 1a 및 도 1f에 도시된 X 및 Y 방향을 포함하는 평면과 같은 수평 평면 내에서 기판을 이송하도록 구성된다. 그에 따라, 이송 로봇(86)은 로봇 블레이드(87)의 기판 지지 표면(87C)(도 1m 참조)에 대체적으로 평행한 평면 내에서 기판을 이송하도록 구성된다. 이송 로봇(86)의 하나의 구성의 운영에 대해서는 도 1m을 참조하여 이하에서 설명한다.
도 1g는 한번에 하나의 기판(W)을 이송하도록 구성될 수 있는 이송 로봇(86)을 포함하는 로봇 하드웨어 조립체(85)의 구성을 도시한다. 이송 로봇 조립체(103)의 하나의 기판을 이송하는 능력은 시스템(100)에 유리한데, 이는, 표준 FOUP와 스테이징 플랫폼(123A, 123B) 사이에서 일반적으로 존재하는 기판 밀도의 편차에도 불구하고, 하나의 로드 스테이션(104A-C)에 배치된 FOUP와 스테이징 플랫폼(123A, 123B) 사이의 기판 이송을 가능하게 하기 때문이다. 그들 사이에서의 기판들의 다수 블레이드 이송은 가변(variable) 피치 로봇 블레이드, 즉 기판들 사이의 거리, 또는 피치를 변화시킬 수 있는 다수 블레이드 로봇 아암을 필요로 한다. 가변 피치 로봇 블레이드는, 비록 당업계에 공지되어 있지만, 비교적 복잡하며, 이는 전체 시스템 중단 및 소유 비용에 영향을 미칠 것이다.
도 1h는 두 개의 이송 로봇(86A, 86B)을 포함하는 로봇 하드웨어 조립체(85)의 한 구성을 도시하며, 상기 이송 로봇(86A, 86B)들은 블레이드(87A-B)(및 제 1 링키지(310A-310B))들이 작은 거리로 이격되어 배치될 수 있도록 서로에 대해서 반대로 배향되어 배치된 즉, 수직방향 거울 이미지로(mirrored) 위치된다. 예를 들어, 최소의 로봇 이동을 이용한 기판 교환이 바람직한 경우에 즉, 로케이션으로부터 기판을 제거하고 즉각적으로 다른 기판과 교체하는 것이 바람직한 경우에, 도 1h에 도시된 구성 즉, "오버/언더(over/under)" 타입 블레이드 구성이 유리할 것이다. 예를 들어, 이송 로봇(86A)을 이용하여 프로세싱 카셋트(146)로부터 프로세싱된 기판을 제거하고 그 제거되는 기판을 프로세싱되지 않은 기판과 즉각적으로 교체하는 것이 바람직할 것이며, 이때 상기 프로세싱되지 않은 기판은 스테이징 카셋트(186)로부터 취해지고 제 2 이송 로봇(86B) 상에서 이용될 수 있을 것이다. 프로세싱되지 않은 기판을 로딩하기에 앞서서 프로세싱된 기판을 다른 로케이션으로 이송할 필요가 없기 때문에, 이러한 기판 교환은 로봇 하드웨어 조립체(85) 또는 로봇 조립체(11)의 기본 위치로부터의 이탈 없이도 이루어질 수 있으며, 그에 따라 시스템 생산량을 상당히 개선한다. 이는, 스테이징 플랫폼(123A, 123B)과 버퍼 챔버(122A, 122B) 각각의 사이에서 기판을 이송하는 동안의 시스템(100)에서 특히 그러하다. 도 1h에 도시된 오버/언더 블레이드 구성에 의해서, 교환되어야 하는 스테이징 플랫폼(123A, 123B) 상의 프로세싱되지 않은 기판을 각 버퍼 챔버(122A, 122B) 내에 배치된 프로세싱된 기판과 교환하는 것이 허용된다. 그에 따라, 오버/언더 블레이드 구성, 또는 그 변형 실시예가 사용될 때의 이러한 기판 교환에 있어서, 기판에 대한 부가적인 스테이징/냉각 로케이션이 불필요하게 된다. 이는 시스템(100)의 풋프린트를 상당히 감소시키는 한편, 프로세싱 카셋트(146)가 비워지고 기판으로 다시 채워지는 동안에 반응기(121A, 121B)가 아이들링하는 시간을 최소화한다.
다른 구성에서, 로봇 하드웨어 조립체(85)는 하나 이상의 다수 블레이드, 일정-피치형 로봇 아암을 추가적으로 포함할 수 있으며, 그에 따라 전술한 바와 같이 스테이징 플랫폼(123A, 123B)과 버퍼 챔버(122A, 122B) 사이의 다수 기판의 교환을 가능하게 한다. 일 예에서, 도 1i에 도시된 바와 같이, 이송 로봇(86A)은 5개의 블레이드 로봇 아암(87H)을 포함한다. 다른 예에서, 이송 로봇(86A) 및 제 2 이송 로봇(86B) 모두는 다수(multiple) 블레이드형 로봇 아암을 포함하며, 그에 따라, 도 1h와 관련하여 전술한 바와 같이, 스테이징 플랫폼(123A, 123B)과 버퍼 챔버(122A, 122B) 각각의 사이에서 다수 기판을 교환할 수 있게 된다.
도 1j는 단일 블레이드 이송 로봇(86C) 및 다수 블레이드 이송 로봇(86D)을 포함하는 로봇 조립체(11)의 로봇 하드웨어 조립체(85)의 바람직한 구성을 도시한다. 단일 블레이드 이송 로봇(86C)는 로드 스테이션(104A-C)과 스테이징 카셋트(186) 사이에서 기판(W)을 이송할 것이다. 다수 블레이드 이송 로봇(86D)은 스테이징 카셋트(186)와 프로세싱 카셋트(146) 사이에서 기판(W)을 이송할 것이다.
도 1a에 도시된 바와 같이, 시스템(100)의 구성에 의해서, 수평 로봇 조립체(90)에 의한 수직 로봇 조립체(95)의 수평 방향 병진이동(translate)에 대한 필요성이 없이, 스테이징 플랫폼(123A, 123B)과 버퍼 챔버(122A, 122B) 각각의 사이에서 기판을 이송할 수 있다는 주지하는 것이 중요하며, 그에 따라 이송 시간이 단축된다. 이러한 구성은 프로세싱 챔버 아이들링 시간을 최소화시킴으로써 시스템 생산량을 상당히 증대시킨다. 각각의 프로세싱 카셋트(146)가 언로딩될 때에는 항상 반응기(121A, 121B)가 아이들링되기 때문에, 기판 이송은 가능한 한 신속하게 실시되어야 한다. 기판 이송 동안에 수직 로봇 조립체(95)의 수평 병진운동 필요성을 제거함으로써 이러한 목적을 달성할 수 있다.
도 1f-1j에 도시된 바와 같이, 데카르트 로봇을 이용하는 부가적인 이점은, 선택적인 컴플라이언스(compliance) 조립체 로봇 아암(SCARA)와 같은 종래의 기판 이송 로봇에 비해서 이송 영역(135) 내에서 실시되는 기판 이송에 필요한 시스템의 풋프린트가 보다 더 작다는 것이다. 이는 도 1k 및 도 1l에 도시되어 있다. 이송 로봇 조립체(103)를 둘러싸는 간극 영역(90A)의 폭(W1, W2)이 최소화된다. 간극 영역(90A)은 이송 로봇 조립체(103)와 같은 기판 이송 로봇에 인접한 영역으로서 규정되며, 기판 이송 로봇의 부품들 및 기판(S)은 기판 이송 로봇 외부의 다른 클러스터 툴 부품과의 충돌 없이 자유롭게 이동될 수 있다. 간극 영역(90A)이 체적부로서 설명될 수 있지만, 간극 영역(90A)의 가장 중요한 측면은 간극 영역(90A)에 의해서 점유되고 클러스터 툴의 풋프린트 및 소유 비용에 직접적으로 영향을 미치는 수평 영역(x 및 y-방향), 또는 풋프린트일 것이다. 간극 영역(90A)의 풋프린트가 길이(L) 및 각각의 폭(W1, W2)에 의해서 규정되는 영역들로서 도 1k, 도 1l에 도시되어 있다. 보다 작은 시스템 풋프린트에 더하여, 보다 작은 간극 영역은 이송 로봇 조립체(103) 및 그 이송 로봇 조립체에 의해서 접근될 수 있는 버퍼 챔버(122A, 122B) 및 스테이징 플랫폼(123A, 123B)과 같은 로케이션들 사이에서 보다 밀접하게 위치될 수 있게 허용하며, 이는 기판 이송 시간을 단축시키고 생산량을 증대시킨다. 여기에 기술된 이송 로봇 조립체(103)의 구성은 도 1l에 도시된 SCARA robot CR에 비해 특히 우수한 이점을 가진다. 이는, 도 1k에 도시된 바와 같이, 이송 로봇(86)의 부품들이 간극 영역(90A)의 길이(L)를 따라서 배향되도록 이송 로봇이 후퇴되는 방식 때문이다. 도 1l에 도시된 바와 같은 SCARA robot CR에서는 그렇지 않다.
도 1g, 1h, 1i 및 1m은 2 바아 링키지 로봇(305)의 한 구성을 도시하며, 상기 2 바아 링키지 로봇은, 이송 로봇(86)으로 이용될 때, 도 1k에 도시된 바와 같이 후퇴(retract)될 것이다. 도 1m을 참조하면, 일반적으로, 2 바아 링키지 로봇(305)은 지지 플레이트(321), 제 1 링키지(310), 로봇 블레이드(87), 트랜스미션 시스템(312), 외장(enclosure; 313) 및 모터(320)를 포함한다. 이러한 구성에서, 이송 로봇(86)으로서 기능하는 2 바아 링키지 로봇(305)은 수직 로봇 조립체(95)(도 1f에 도시됨)에 부착된 지지 플레이트(321)를 통해서 수직 로봇 조립체(95)에 부착된다. 도 1m은 이송 로봇(86)의 2 바아 링키지 로봇(305) 타입의 한 구성을 도시한 단면도이다. 일반적으로, 2 바아 링키지 로봇(305)내의 트랜스미션 시스템(312)은 모터(320)의 회전과 같은 파워 트랜스미션 부재의 이동에 의해서 로봇 블레이드(87)의 이동을 유발하도록 구성된 하나 이상의 파워 트랜스미션 부재를 포함한다. 일반적으로, 트랜스미션 시스템(312)은 하나의 부재로부터 다른 부재로 회전 또는 병진 운동을 전달하도록 구성된 기어, 풀리 등을 포함할 수 있다. 일 측면에서, 트랜스미션 시스템(312)은, 도 1m에 도시된 바와 같이, 제 1 풀리 시스 템(355) 및 제 2 풀리 시스템(361)을 포함한다. 제 1 풀리 시스템(355)은 모터(320)에 부착된 제 1 풀리(358), 제 1 링키지(310)에 부착된 제 2 풀리(356), 그리고 모터(320)가 제 1 링키지(310)를 구동시킬 수 있도록 제 1 풀리(355)와 제 2 풀리(356)를 연결하는 벨트(359)를 포함한다. 일 측면에서, 제 2 풀리(356)가 제 3 풀리(354)의 축선(V1)을 중심으로 회전할 수 있게 허용하도록, 다수의 베어링(356A)이 구성된다.
제 2 풀리 시스템(361)은 지지 플레이트(321)에 부착된 제 3 풀리(354), 로봇 블레이드(87)에 부착된 제 4 풀리(352), 및 제 1 링키지(310)의 회전이 제 1 링키지(310)에 커플링된 베어링 축선(353; 피봇 V2)을 중심으로 로봇 블레이드(87)를 회전시키도록 제 3 풀리(354)를 제 4 풀리(352)로 연결하는 벨트(362)를 포함한다. 기판 이송 시에, 모터는 제 1 풀리(358)를 구동하며, 이는 제 2 풀리(356)와 제 1 링키지(310)를 회전시키며, 이는 고정된 제 3 풀리(354)를 중심으로 한 제 1 링키지(310) 및 벨트(362)의 각도 회전(angular rotation)으로 인해 제 4 풀리(352)를 회전시킨다. 일 실시예에서, 모터(320) 및 시스템 제어부(111)는 모터(320)와 그 모터에 부착된 모든 부품들의 각 위치가 제어될 수 잇게 허용하는 폐쇄-루프 제어 시스템을 형성하도록 구성된다. 일 측면에서, 모터(320)는 스텝퍼 모터 또는 DC 서보모터이다.
본 발명의 일부 구성에 포함될 수 있는 데카르트 로봇에 대한 보다 상세한 설명은 2006년 4월 5일자로 출원되고 본 출원인에게 양도되었으며 본원의 특허청구 범위에 기재된 발명과 모순되지 않는 범위 내에서 전체가 참조로서 포함되는 미국 특허출원 제 11/398,218 호에 기재되어 있다.
프로세스 유체 전달 시스템
기판의 ALD 및 CVD 프로세싱의 경우에, 희망하는 물질의 층을 기판상에 증착하기 위해서 프로세싱 챔버의 프로세스 체적부로 전달될 수 있는 프로세스 유체를 형성하기 위해서 화학물질 전구체를 처리하는 3가지 방법이 일반적으로 존재한다. 본 명세서에서 프로세스 유체라는 용어는 가스, 증기 또는 액체를 포함하는 것을 의미한다. 제 1 처리 방법은 승화 프로세스로서, 앰퓰(ampoule) 내의 고체 형태의 전구체를 제어된 프로세스의 이용에 의해서 승화시켜, 전구체가 앰퓰 내에서 고체 상태로부터 가스 또는 증기로 상태 변화될 수 있게 한다. 이어서, 전구체-함유 가스 또는 증기는 프로세싱 챔버의 프로세스 체적부로 전달된다. 전구체-함유 프로세스 가스를 생성하기 위해서 이용되는 제 2 방법은 증발 프로세스에 의한 것으로서, 그러한 방법에서는 캐리어 가스가 온도 제어된 액체 전구체를 통해서 버블링되고, 이어서 유동 캐리어 가스와 함께 이송된다. 전구체 생성에 이용되는 제 3 프로세스는 액체 전달 시스템으로서, 여기에서는 액체 전구체가 펌프의 이용에 의해서 증발기로 전달되고, 액체 전구체는 증발기로부터 전달되는 에너지의 부가에 의해서 액체로부터 가스로 상태가 변화된다. 통상적으로, 부가된 에너지는 액체에 부가되는 열의 형태이다. 전구체-함유 프로세스 유체를 생성하기 위한 전술한 3가지 방법 중 임의의 방법에서, 전구체 앰퓰 및 앰퓰과 프로세싱 챔버 사이의 유체 전달 라인들의 온도를 필수적으로 제어하여야 하는 것이 일반적이다. 이는 ALD 프로세스의 경우에 특히 그러하며, 이때 상기 전달 라인의 온도 제어는 프로세스 반복성의 달성에 있어서 매우 중요하다. 그에 따라, 전구체 온도의 엄격한 제어가 요구되는 경우에, 불필요한 시스템 비용, 복잡성을 최소화하고 신뢰성을 높이기 위해서는 전구체 앰퓰과 프로세싱 챔버 사이의 거리를 최소화하여야 할 것이다.
도 1n은 반응기(121A)와 같은 프로세싱 챔버의 프로세스 체적부로 프로세스 유체를 전달하기 위해서 이용되는 전구체 전달 시스템(501)의 일 구성을 도시한 도면이다. 도시된 예에서, 전구체 전달 시스템(501)은 액체 전달 타입의 프로세스 유체 공급원이다. 전구체 전달 시스템(501)의 부품들은 도 1a에 도시된 설비 타워(130) 내에서 서로 근접하여 수용될 것이다. 전구체 전달 시스템(501)은 오버헤드 랙(140)에 수용될 수 있는 유입구 라인(505)을 통해서 반응기(121A)로 유체적으로 커플링된다. 오버헤드 랙(140)을 통해서 반응기(121A)로 연결되는 유입구 라인(505)의 루팅(routing)으로 인해서, 배치 프로세싱 스테이션(101A, 101B)으로의 서비스 접근로를 침범하지 않고도, 전구체 전달 시스템(501)을 반응기(121A)에 인접 배치할 수 있게 된다. 일반적으로, 전구체 전달 시스템(501)은 반응기(121A)로부터 상당히 멀리 떨어져 위치되며, 예를 들어 서로 다른 룸(room) 내에 또는 심지어는 서로 다른 플로어(floor)에 위치된다. 도 1n을 다시 참조하면, 전구체 전달 시스템(501)은, 이러한 구성에서, 일반적으로 다음과 같은 부품을 포함한다: 즉, 앰퓰 가스 공급원(512), 전구체("A")를 포함하는 앰퓰(139), 계량(metering) 펌프(525), 증발기(530), 격리 밸브(535), 수집 용기 조립체(540) 및 최종 밸브(503) 를 포함한다. 일반적으로, 수집 용기 조립체(540)는 다음과 같은 부품을 포함한다: 즉, 유입구(546), 배출구(548), 용기(543), 상기 용기(543)를 둘러싸는 저항식 가열 부재(541), 히터 제어부(542), 및 센서를 포함한다. 하나의 구성에서, 히터 제어부(542)는 시스템 제어부(111)의 일부이다.
전구체 전달 시스템(501)은 액체 전구체를 포함하는 앰퓰(139)로부터 반응기(121A)의 프로세스 체적부(127)로 프로세스 가스를 전달하도록 구성된다. 액체 전구체로부터 가스를 형성하기 위해서, 액체 전구체는 전구체를 증발기(530)로 펌핑하는 계량 펌프(525)의 이용에 의해서 증기화되며, 상기 펌핑은 액체에 에너지를 부가하며, 그에 따라 액체로부터 가스로 상태를 변화시킨다. 계량 펌프(525)는, 시스템 제어부(111)로부터의 명령어를 이용함으로써, 프로세스 레시피(recipe) 단계를 통해서 희망하는 유량 셋 포인트(set point)로 액체 전구체를 제어하고 전달하도록 구성된다. 증기화된 전구체는 수집 용기 조립체(540)로 전달되고, 여기에서 프로세스 체적부(127) 내로 그리고 기판(W)의 표면에 걸쳐 분사될 때까지 저장된다.
분사된 전구체가 응축되지 않도록 그리고 유입구 라인(505)의 표면에 잔류하지 않도록 보장하기 위해서 유입구 라인(505)을 가열한다. 또한, 가스 상 분해 및/또는 전구체의 표면 분해를 방지하기 위해서, 유입구 라인(505) 및 기타 전구체 전달 시스템(501)의 부품의 온도를 전구체 분해 온도 미만으로 제어하는 것이 일반적이다. 그에 따라, 유입구 라인(505)을 포함하는 전구체 전달 시스템(501)의 많은 부품들의 신뢰가능한 온도 제어가 CVD 프로세스 및 특히 ALD 프로세스에서 중요 한 문제가 된다. 심각한 프로세스 문제를 피하기 위해서, 온도 제어는 전구체 전달 시스템(501)의 필수 부품들을 양호하게 규정된(well-defined) 온도 윈도우 내에서 신뢰가능하게 유지하여야 한다.
유입구 라인(505)이 길어질수록 유입구 라인(505)의 신뢰가능하고 정확한 온도 제어에 있어서 보다 문제가 발생할 여지가 많아지고 또 비용이 많이 소요될 것이기 때문에, 전구체 전달 시스템(501)을 반응기(121A)에 가급적 근접하여 배치시킴으로써 유입구 라인(505)을 최소화할 수 있을 것이다. 도 1a를 참조하면, 전구체 전달 시스템(501)은 반응기(121A, 121B)인 설비 타워(130) 내에 위치될 수 있다. 이를 위해서, 설비 타워(130)를 반응기(121A, 121B)에 가능한 한 근접하면서도 접근 도어(135A)를 통한 이송 로봇 조립체(103) 및 배치 프로세싱 스테이션(101B)와 같은 시스템(100)의 다른 부품 및 설비 타워(130)의 서비스를 위해서 적당한 서비스 거리(137)를 유지하도록 배치한다. 서비스 거리(137)는 SEMI(Semiconductor Equipment and Materials International) 컴플라이언트 서비스 거리, 일반적으로는 약(order of) 36 인치가 될 것이다. 그 대신에, 전구체 전달 시스템(501)은, 도 1b에 도시된 바와 같이, 각각의 배치 프로세싱 스테이션(101A, 101B)에 인접하여 프로세싱 카셋트(146A, 146B) 내에 위치될 수 있다. 다른 구성에서, 전구체 전달 시스템(501)은, 도 1o에 도시된 바와 같이, 캐비넷(145) 내에서 시스템(100)의 상부에 위치될 수 있다.
FOUP 스토커
단일-기판 프로세싱 시스템과 달리, 시스템(100)과 같은 배치 프로세싱 시스템은 통상적으로 다수의 FOUP로부터의 기판들을 동시에 프로세싱한다. 예를 들어, 표준형 FOUP는 25개까지의 기판을 수용하는 반면, 시스템(100)에 의해서 프로세싱되는 기판의 배치(batch)는 50 또는 100 개의 기판이 될 수 있다. 시스템(100)이 둘 이상의 배치 프로세싱 스테이션을 포함할 수 있다는 것을 고려하면, 시스템 내에서 100 내지 200 개의 기판이 동시에 프로세싱될 수 있을 것이며, 이는 12개 또는 그 이상의 FOUP에 상당하는 것이 될 수 있을 것이다. 그러나, 시스템(100)의 풋프린트를 최소화하기 위해서, 도 1a에 도시된 바와 같이, 팩토리 인터페이스(102)는 통상적으로 2 또는 3개의 로드 스테이션(104A-C)을 포함한다. 그에 따라, 프로세싱된 기판들을 위해서 대기하는 비어 있는 FOUP가 로드 스테이션(104A-C)으로부터 제거되어, 다른 FOUP로부터의 기판의 로딩 및 언로딩을 허용한다. 또한, 정확한 기판이 로딩될 수 있도록, 프로세싱 후에 각각의 FOUP는 로드 스테이션(104A-C)으로 정확하게 스테이징되어야 한다. 또한, FOUP는 오버헤드 모노레일 FOUP 이송 시스템과 같은 제조 설비의 중앙 FOUP 이송 시스템의 내외로 수용되고 복귀되어야 한다. 그에 따라, 생산량의 감소 없이 또는 시스템(100)의 불합리한 풋프린트 확장 없이, 프로세싱 동안에 많은 수의 FOUP를 운영하는 것은 간단한 문제가 아니다.
이를 위해서, 시스템(100)은 로드 스테이션(104A-C)에 인접하여 배치된 FOUP 스토커(150)(도 1b에 도시됨)와 함께 구성될 수 있다. FOUP 스토커는 하나 이상의 저장 선반 및 FOUP 이송 메카니즘을 포함할 수 있고, 상기 FOUP 이송 메카니즘은 시스템(100)의 로드 스테이션(104A-C)과 FOUP 저장 로케이션 사이에서 FOUP를 상승 또는 하강시킬 수 있는 선반을 포함할 수 있다. 하나의 구성에서, 저장 선반들은 그들 사이에서 FOUP를 상승 및 하강시킬 수 있도록 구성된다. 다른 구성에서, FOUP 핸들러 또는 다른 FOUP 이송 장치가 FOUP 저장 로케이션과 로드 스테이션(104A-C) 사이에서 FOUP를 이송시키도록 구성된다. FOUP 스토커가 제조 툴(tool)의 전방에 또는 그 옆에 위치될 수 있을 것이나, 시스템(100)의 풋프린트 증가를 피하기 위해서, 바람직하게는 FOUP 스토커가 로드 스테이션(104A-C)의 위쪽에 위치된다.
도 1p는 시스템(100)과 같은 배치 프로세싱 플랫폼에 의한 프로세싱 동안에, FOUP와 같은 밀봉된 기판 이송 포트의 운영에 맞춰 적용된 스토커 장치 즉, 스토커(150)의 측면도이다. 스토커(150)는 제 1 및 제 2 수직 이송 메카니즘 즉, 제 1 로봇(713) 및 제 2 로봇(715)을 각각 포함한다. 제 1 로봇(713)은 제 1 y-축 성분(717), 및 제 1 x-축 성분(719)이 상기 제 1 y-축 성분(717)의 길이를 따라 이동할 수 있도록 상기 제 1 y-축 성분(717)에 이동가능하게 커플링되는 제 1 x-축 성분(719)을 포함한다. 유사하게, 제 2 로봇(715)은 제 2 y-축 성분(721), 및 제 2 x-축 성분(723)이 상기 제 2 y-축 성분(721)의 길이를 따라 이동할 수 있도록 상기 제 2 y-축 성분(721)에 이동가능하게 커플링되는 제 2 x-축 성분(723)을 포함한다. 제 1 로봇(713)과 제 2 로봇(715) 사이에는 하나 이상의 저장 로케이션(725a, 725b)이 작동적으로 커플링된다.
제 1 x-축선 성분(719)이 제 1 y-축 성분(717)의 하부 위치에 있을 때 하나 이상의 로드 스테이션(104A-B)에 접근하고 상부에 FOUP를 위치시키도록, 제 1 로봇(713)이 구성된다. 제 1 x-축선 성분(719)이 제 1 y-축 성분(717)의 상부 위치에 있을 때 도면부호 '729a'로 전체적으로 표시된 모노레일과 같은 오버헤드 웨이퍼 캐리어 이송 시스템에 접근하도록, 제 1 로봇(713)이 추가로 구성된다. 제 2 x-축선 성분(723)이 제 2 y-축 성분(721)의 하부 위치에 있을 때 하나 이상의 로드 스테이션(104A-B)에 접근하고 상부에 FOUP를 위치시키도록, 제 2 로봇(715)이 구성된다. 제 1 x-축선 성분(719) 및 제 2 x-축선 성분(723)이 저장 로케이션(725a, 725b) 중 임의의 하나에 도달하도록 구성된다. 바람직한 구성에서, 제 1 로봇(713)은 저장 로케이션(725a, 725b) 대신에 다수의 제 1 y-축 성분(717)에 맞춰진다. 이러한 바람직한 구성에서, 제 2 로봇(715)도 유사하게 구성된다.
도 1q는 로드 스테이션(104A, 104B) 위쪽의 4개의 저장 로케이션(725a, 725b, 725c 및 725d)의 바람직한 정렬을 도시한 도 1p의 스토커(150)의 정면도이다. FOUP의 751, 753, 755 및 757은 각각의 저장 로케이션(725a, 725b, 725c 및 725d) 상에서 저장된다. 스토커(150)의 FOUP 능력은 저장 로케이션(725a, 725b, 725c 및 725d)의 위쪽에 및/또는 그에 인접하여 부가된 부가적인 저장 로케이션들에 의해서 증대될 수 있을 것이다. 저장 로케이션(725a, 725b, 725c 및 725d)에 인접하여 위치된 부가적인 저장 로케이션들은 x-축선 성분 및 y-축선 성분과 함께 각각 구성된 제 1 로봇(713) 및 제 2 로봇(715)과 유사한 하나 이상의 부가적인 로봇을 필요로 할 것이다.
다수 아암형 로봇 플랫폼 - 제로 측면 접근 구성(Zero Side Access Configuration)
본 발명의 일 측면에서, 이송 로봇 및 증착 스테이션으로의 필요 서비스 접근로를 제공하기 위해서, 다수 아암 로봇 플랫폼은 서비스 복도가 사이에 배치되도록 구성된 두 개의 배치 프로세싱 챔버를 포함한다. 일반적으로, 요구되는 서비스 영역들은 기판 프로세싱 시스템에 대한 COO 계산에서 풋프린트의 일부로서 포함되며, 그에 따라 시스템의 전체 풋프린트의 상당한 부분을 구성하는 것이 통상적이다. 또한, 요구되는 접근 영역들이 프로세싱 시스템의 양 측부에서 감소될 뿐만 아니라 제거될 수 있다면, 하나의 프로세싱 시스템이 다른 시스템과 접하도록 위치될 수 있을 것이며, 이는 바닥 공간의 효율적인 이용을 최대화할 것이다. 그에 따라, 측부 접근로에 대한 필요성을 제거할 수 있는 방식으로, 요구되는 모든 서비스 영역들을 기판 프로세싱 시스템의 다른 영역내로 통합할 수 있다면, 유효 풋프린트를 상당히 감소시킬 수 있을 것이다.
도 2a는 본 발명의 일 측면을 도시한 평면도로서, 그러한 배치 프로세싱 플랫폼(이하에서는 시스템(200)이라 한다)에서는 모든 부품들의 서비스에 있어서 측부 접근로를 필요로 하지 않는다. 도 2b는 시스템(200)의 개략적인 측면도이다. 도 2c는 그 시스템의 사시도이다.
일반적으로, 시스템(200)은 둘 또는 그 이상의 배치 프로세싱 스테이션(201A, 201B), 시스템 제어부(111), 팩토리 인터페이스(102), 그리고 프로세스 유체 전달 시스템을 포함하며, 상기 팩토리 인터페이스는 이송 로봇(220) 및 하나 이상의 로드 스테이션(104A, 104B)을 포함한다. 유체 전달 시스템이 설비 타워(130A, 130B) 내에 포함될 수 있고, 도 1n과 관련하여 전술한 바와 같이, 시스템(100)에 대한 프로세스 유체 전달 시스템과 실질적으로 동일하게 구성될 수 있을 것이다. 시스템(100)에서와 같이, FOUP 스토커(도시 하지 않음)가 로드 스테이션(104A, 104B)의 위쪽에 위치되어 기판의 배치 프로세싱 동안에 FOUP 또는 다른 기판 이송 포드의 국부적인 저장을 제공할 수 있을 것이다.
배치 프로세싱 스테이션(201A, 201B)이 팩토리 인터페이스(102)에 인접하여 배치되고 공통의 접근 공간(250)에 의해서 서로 이격되며, 상기 접근 공간은 배치 프로세싱 스테이션(201A, 201B)에 대한 그리고 이송 로봇(220)에 대한 서비스 접근로를 제공하도록 구성된다. 공통 접근 공간(250)의 존재로 인해서, 시스템(200)의 측부(251, 252)를 따른 측부 접근 영역들에 대한 필요성을 제거할 수 있으며, 이는 시스템(200)이 측부(251, 252)를 따라서 벽 또는 다른 프로세싱 시스템과 직접 접촉하여 위치될 수 있게 허용한다.
도 2a-d를 참조하면, 배치 프로세싱 스테이션(201A)는 반응기(221A), 상기 반응기(221A)에 인접하여 위치된 버퍼 챔버(222A), 그리고 팩토리 인터페이스(102) 내에 배치되고 반응기(221A)에 인접하여 스테이징 카셋트(도시 하지 않음)를 지지하도록 구성된 스테이징 플랫폼(223A)을 포함한다. 유사하게, 배치 프로세싱 스테이션(201B)는 반응기(221B), 버퍼 챔버(222B), 그리고 팩토리 인터페이스(102) 내에 배치되고 반응기(221B)에 인접하여 스테이징 카셋트(도시 하지 않음)를 지지하도록 구성된 스테이징 플랫폼(223B)을 포함한다. 일반적으로, 배치 프로세싱 스테 이션(201A, 201B), 팩토리 인터페이스(102) 및 오버헤드 랙(wrack; 210)은 시스템(100)의 대응 부분들과 동일하게 즉, 도 1a와 관련하여 전술한 배치 프로세싱 스테이션(101A, 101B)과 동일하게 구성된다.
시스템(100)과 다른 시스템(200)의 구성 및 작동상의 하나의 차이점은 팩토리 인터페이스(102), 배치 프로세싱 스테이션(201A, 201B), 및 이송 로봇의 상대적인 배향(orientation)이다. 시스템(200)에서, 바람직하게, 각각의 배치 프로세싱 스테이션에 대향되게 배치된 하나의 로드 스테이션이 존재한다. 예를 들어 도 2a에 도시된 구성에서, 로드 스테이션(104A, 104B)이 각각의 배치 프로세싱 스테이션(201A, 201B)에 대향되게 배치된다. 시스템(100)과 시스템(200)의 다른 차이점은, 이송 로봇의 구성에 관한 것이다. 시스템(200)에서, 이송 로봇 조립체(103)와 달리, 이송 로봇(220)은 바람직하게 데카르트 로봇이 아니다. 이송 로봇(220)은 트랙(220T) 상에 장착된 종래의 SCARA 로봇일 수 있다. 이송 로봇(220)은 시스템(200)의 모든 배치 프로세싱 스테이션(201A, 201B)에 대해서 서비스하도록 모든 트랙(220T)을 따라 이동되도록 구성된다. 로봇의 이러한 구성에서는 보다 적은 서비스 접근로가 요구되기 때문에, 공통의 접근 공간(250)으로부터 또는 전방 스킨(253)으로부터 적절하게 서비스될 수 있을 것이다.
이송 로봇(220)의 다른 특징은 이송 로봇 조립체(103)와 실질적으로 동일하며, 저밀도 FOUP로부터 고밀도 스테이징 카셋트까지 기판을 이송하기 위해서 단일 블레이드 로봇 아암을 이용하는 것 그리고 다수 기판을 스테이징 FOUP로부터 동일한 밀도의 프로세싱 카셋트로 이송하기 위해서 다수 블레이드 로봇 아암을 이용하 는 것을 포함한다.
하나의 구성에서, 고정형 이송 로봇, 즉 트랙-장착형이 아닌 이송 로봇이 각 배치 프로세싱 스테이션(201A, 201B)과 로드 스테이션(104A, 104B) 사이에 배치된다. 이러한 구성에서, 각 이송 로봇이 단일 배치 프로세싱 스테이션을 서비스한다. 만약, 기판들의 그룹에 대해서 상이한 프로세스를 연속하여 실시하도록 배치 프로세싱 스테이션(201A, 201B)이 각각 구성된다면, 스토커(150)는 필요에 따라 로드 스테이션(104A, 104B) 사이에서 FOUP를 이동시킴으로써 배치 프로세싱 스테이션(201A, 201B) 사이에서 기판을 이송시킬 수 있게 한다.
도 2a 및 도 2d에 도시된 바와 같이, 전구체 전달 시스템(501)을 각각 포함하는 각각의 배치 프로세싱 스테이션(201A, 201B)에 대해 할당된(dedicated) 설비 타워(130A, 130B)시스템(200)을 시스템(200)이 포함할 수 있다. 이러한 구성에서, 설비 타워(130A, 130B)의 이용은 설비 타워(130A)와 설비 타워(130B) 사이의 접근 개구부(130C)를 생성한다. 별도의 오버헤드 랙(210A, 210B)은 설비 타워(130A, 130B)를 배치 프로세싱 스테이션(201A, 201B)과 각각 연결한다. 다른 구성에서, 설비 타워(130A, 130B)는 각 배치 프로세싱 스테이션(201A, 201B)에 대한 전구체 전달 시스템(501)을 포함하는 하나의 설비 타워로 조합될 수 있다.
카셋트 핸들러 플랫폼
본 발명의 다른 실시예에서, 챔버 아이들링 시간을 최소화하기 위해서, 카셋트 핸들러는 프로세싱 챔버와 냉각 스테이션 사이에서 프로세싱 카셋트를 이송한 다. 단일 아암 로봇은 기판 이송 포드와 프로세싱 카셋트 사이에서 기판을 개별적으로 이송한다. 일 측면에서, 카셋트 핸들러는 하나 이상의 프로세싱 챔버와 냉각 스테이션 사이에서 프로세싱 카셋트를 이송하도록 구성된 선형 트랜스레이터(translator)이다. 다른 측면에서, 카셋트 핸들러는 프로세싱되지 않은 기판의 카셋트를 프로세싱된 기판의 카셋트와 교환할 수 있도록 구성된 회전식 테이블이다.
선형 트랜스레이터 구성
도 3a는 본 발명의 일 측면을 도시한 평면도로서, 선형 트랜스레이터를 포함하는 배치 프로세싱 플랫폼(이하에서는, 시스템(300)이라 한다)을 도시한 도면이다. 선형 트랜스레이터 로봇은 스테이징 플랫폼, 하나 이상의 배치 프로세싱 챔버, 및 카셋트 로딩 스테이션 사이에서 프로세싱 카셋트를 이송하도록 구성된다. 도 3b는 시스템(300)의 개략적인 측면도이다.
배치 프로세싱 플랫폼의 높은 생산량을 유지하기 위해서, 반응기 아이들링 시간을 최소화하는 것이 중요하다. 반응기 아이들링 시간에 기여하는 인자들은 반응기에 대한 긴 펌핑-배출 시간 및 배기 시간, 기판 냉각 시간, 및 기판 이송 시간을 포함한다. 도 3a 및 도 3b에 도시된 구성은 이들 각각의 요소가 시스템 생산량에 미치는 영향을 줄이거나 제거할 수 있을 것이다.
시스템(300)은 하나 이상의 반응기(1301, 1302), 카셋트 이송 영역(1305), 팩토리 인터페이스(102), 그리고 프로세스 유체 전달 시스템을 포함한다. 팩토리 인터페이스(102)는 하나 이상의 로드 스테이션(104A-C), 카셋트 로딩 스테이션(1303), 분위기 제어 조립체(110), 및 카셋트 로딩 스테이션(1303) 상에 위치된 프로세싱 카셋트와 로드 스테이션(104A-C) 사이에서 기판을 이송하도록 구성된 이송 로봇(1304)을 포함한다. 카셋트 이송 영역(1305)은 스테이징 플랫폼(1306) 및 선형 트랜스레이터 로봇(1320)을 포함하고, 상기 선형 트랜스레이터 로봇은 수평 레일(1321)에 장착되고 스테이징 플랫폼(1306), 반응기(1301, 1302), 및 카셋트 로딩 스테이션(1303) 사이에서 프로세싱 카셋트들을 이송하도록 구성된다. 프로세스 유체 전달 시스템은 설비 타워(130A, 130B) 내에 수용될 수 있고, 도 1n과 관련하여 전술한 바와 같은, 시스템(100)에 대한 프로세스 유체 전달 시스템과 실질적으로 동일하게 구성될 수 있다. 기판의 배치 프로세싱 동안에 FOUP 또는 다른 기판 이송 포트의 국부적인 저장을 제공하기 위해서, 시스템(100)에서와 같이, FOUP 스토커가 로드 스테이션(104A-C)의 위쪽에 배치될 수 있다.
시스템(200)의 대응 부품들과 실질적으로 동일한 구성을 가지고 실질적으로 동일하게 작동되는 시스템(300)의 부품들은 팩토리 인터페이스(102), 이송 로봇(1304), 반응기(1301, 1302), 설비 타워(130A, 130B), 및 프로세스 유체 전달 시스템을 포함한다.
작동 중에, 팩토리 인터페이스(102) 내에 배치되고 카셋트 로딩 스테이션(1303) 상에 위치된 제 1 프로세싱 카셋트(1330)가 이송 로봇(1304)에 의해서 카셋트 로드 스테이션(104A-C) 상에 위치된 하나 이상의 FOUP로부터의 기판으로 로딩된다. 하나의 구성에서, 이송 로봇(1304)은, 도 2a-c와 관련하여 전술한 바와 같 은, 이송 로봇(220)과 유사한 단일 트랙-장착형 로봇일 수 있다. 이어서, 제 1 프로세싱 카셋트(1330)가 수직 인덱서 또는 모터구동형 리프트와 같은 수직 승강 메카니즘(1303A)에 의해서 로드 록(1309)에 인접한 위치로 수직으로 병진이동된다. 이어서, 제 1 프로세싱 카셋트(1330)는 로드 록(1309) 내로 로딩되고 카셋트 이송 영역(1305) 및 반응기(1301, 1302) 내와 실질적으로 동일한 진공 레벨까지 펌핑 배출된다. 제 1 프로세싱 카셋트(1330)도 카셋트 이송 영역(1305)으로 유입되기에 앞서서 압력 사이클링될 수 있을 것이다. 펌핑-감압 후에, 진공-기밀 도어(1312)가 개방되고 제 1 프로세싱 카셋트(1330)는 카셋트 승강 메카니즘과 함께 구성된 선형 트랜스레이터 로봇(1320)에 의해서 로드 록(309)으로부터 카셋트 이송 영역(1305)으로 이송된다. 프로세싱 카셋트를 수직 경로(1323)를 따라서 하나 이상의 반응기(1301, 1302)의 내외로 수직방향으로 이송하기 위해서, 그리고 프로세싱 카셋트를 스테이징 플랫폼(1306)상으로 또는 그로부터 이송하기 위해서, 선형 트랜스레이터 로봇(1320)은 수평 경로(1322)를 따라서 프로세싱 카셋트를 병진이동시키도록 구성된다. 이어서, 제 1 프로세싱 카셋트(1330)는 선형 트랜스레이터 로봇(1320)에 의해서 반응기(1301) 또는 반응기(1302)와 같은 아이들(idle) 반응기 내로 로딩된다. 프로세싱이 완료된 후에, 제 1 프로세싱 카셋트(1330)가 선형 트랜스레이터 로봇(1320)에 의해서 반응기(1301)로부터 언로딩되고 냉각을 위해서 스테이징 플랫폼(1306)으로 이송된다. 기판이 충분히 냉각된 후에, 제 1 프로세싱 카셋트(1330)는 선형 트랜스레이터 로봇(1320)에 의해서 로드 록(1309)으로 이송되고, 대기압으로 배기되며, 수직 승강 메카니즘(1303A)에 의해서 팩토리 인터페이 스(102) 내로 하강되며, 그리고 이송 로봇(1304)에 의해서 언로딩된다. 그 대신에, 제 1 프로세싱 카셋트는 대기압으로 배기된 후에 로드 록(1309) 내에서 대기중 냉각될 수도 있다. 이러한 구성에서, 자유 또는 강제 대류 냉각이 이용될 수 있을 것이다.
바람직한 절차에 따라서, 반응기(1301) 내의 제 2 프로세싱 카셋트(1331)에 대한 프로세싱이 완료되기에 앞서서 프로세싱되지 않은 기판들을 가지는 제 1 프로세싱 카셋트(1330)가 로드 록(1309) 내에 위치될 수 있다. 그렇게 하는 동안에, 반응기(1301)는 짧은 시간 동안, 즉 약 1 분의 범위 동안(on the order of about 1 minute) 아이들링된다. 반응기 아이들링 시간은 선형 트랜스레이터 로봇(1320)이 제 2 프로세싱 카셋트(1331)를 스테이징 플랫폼(1306)으로 이송하는데 필요한 시간과 제 1 프로세싱 카셋트(1330)를 반응기(1301) 내로 이송하는데 필요한 시간을 합한 시간 보다 길지 않다. 로드 록 펌핑 및 배기 뿐만 아니라 기판 로딩 및 언로딩도 "오프-라인" 실시되며 즉, 반등기들이 기판을 프로세싱하는 동안에 실시된다. 그에 따라, 로드 스테이션(104A-C)으로부터 반응기(1301, 1302)로의 기판 이송 중에 포함되는 시간-소모 단계들이 이루어지는 동안에 반응기들이 아이들링하지 않으며, 그에 따라 시스템 생산량이 최대화된다. 바람직하게, 반응기 로딩/언로딩이 선형 트랜스레이터 로봇(1320)의 가용성(availability)에 의해서 제한되지 않도록 하기 위해서, 반응기(1301, 1302)는 스테이지화되고, 즉 기판 프로세싱이 서로 교호적으로 시작된다.
대안적인 구성에서, 카셋트 이송 영역(1305)은 대기압 이송 영역이고, 바람 직하게는 건조 질소와 같은 낮은 수분의 불활성 가스로 퍼지된다. 이러한 구성에서, 프로세싱 카세트는 팩토리 인터페이스(102)에서 기판으로 로딩되고 진공 로드 록의 통과 없이 반응기(1301, 1302)로 직접적으로 이송된다. 이러한 구성에서, 수직 승강 메카니즘(1303A) 및 로드 록(1309)을 필요로 하지 않는다.
다른 대안적인 구성에서, 시스템(300)의 반응기(1301, 1302)의 각각이 동일한 기판 그룹에서 서로 상이한 배치 프로세스를 순차적으로 실시하도록 구성될 수도 있을 것이다. 이러한 구성에서, 바람직한 프로세싱 시퀀스는 제 1 배치 프로세스를 실행하는 반응기(1301)에서 제 1 프로세싱 카셋트(1330)를 프로세싱하는 단계, 제 2 배치 프로세스로 프로세싱하기 위해서 선형 트랜스레이터 로봇(1320)을 이용하여 제 1 프로세싱 카셋트(1330)를 반응기(1302)로 이송하는 단계를 포함한다. 이어서, 제 1 프로세싱 카셋트(1330)가 냉각을 위해서 스테이징 플랫폼(1306)으로 이송되고 이어서 전술한 바와 같이 시스템(300)으로부터 제거된다.
회전 교차 구성(Rotational Cross Configuration)
도 4a는 본 발명인 배치 프로세싱 플랫폼(이하에서 시스템(400)의 일 측면의 평면도로서, 여기에서 회전 교차 로봇이 두 진공 로드 록 및 두 반응기 사이에서 두 쌍의 프로세싱 카셋트를 회전식으로 교환(swap)하기 위해서 구성된다. 도 4b는 시스템(400)의 개략적인 측면도이다.
전술한 바와 같이, 반응기들이 기판을 프로세싱하는 동안에 기판 로딩 및 언로딩 그리고 로드 록 펌핑 및 배기와 같이 기판 이송의 가장 시간을 많이 소모하는 요소들을 실시함으로써 시스템 생산량이 상당히 개선된다. 도 4a 및 도 4b에 도시된 구성은 시스템 생산량에 미치는 이들 요소들의 영향을 감소 또는 제거할 수 있을 것이다.
시스템(400)은 두 개의 반응기(401, 402), 두 개의 진공 로드록(403, 404), 상기 진공 로드록(403, 404) 및 반응기(401, 402)의 아래쪽에 위치된 배기된(evacuated) 카셋트 이송 영역(406), 팩토리 인터페이스(102) 및 프로세스 유체 전달 시스템을 포함한다. 진공 로드록(403, 404)은 프로세싱된 기판을 수용하고 있는 카셋트에 대한 냉각 스테이션으로서의 역할을 할 것이고 또 로드 스테이션(104A-C)과 내부의 프로세싱 카셋트 사이의 기판 이송을 위한 로딩 스테이션으로서의 추가적인 역할을 할 것이다. 팩토리 인터페이스(102)는 하나 이상의 로드 스테이션(104A-C), 분위기 제어 조립체(110), 및 로드 스테이션(104A-C)과 진공 로드록(403, 404) 사이에서 기판을 이송하도록 구성된 이송 로봇(405)을 포함한다. 이송 로봇(405)은 도 2a-c와 관련하여 전술한 바와 같은 이송 로봇(220)과 실질적으로 동일한 단일 트랙-장착형 로봇이나, 확장된 z-이동 능력을 가진다. 시스템(400)은 또한 배기된 카셋트 이송 영역(406) 내에 위치된 회전 교차 로봇(407)을 포함한다. 회전 교차 로봇(407)은 수직 경로(407A)를 따라 수직 이동함으로써 진공 로드 록(403, 404)과 반응기(401, 402) 내외로 카셋트를 위치시키도록 구성된다. 회전 교차 로봇(407)은 프로세싱된 기판을 포함하는 두 개의 프로세싱 카셋트를 프로세싱되지 않은 기판을 포함하는 두 개의 프로세싱 카셋트와 회전식으로 교환하도록 추가적으로 구성된다.
시스템(200)의 대응 부품들과 실질적으로 동일한 구성을 가지고 실질적으로 동일하게 작동되는 시스템(400)의 부품들은 팩토리 인터페이스(102), 이송 로봇(405), 반응기(401, 402), 설비 타워(130A, 130B), 오버헤드 랙(140), 및 프로세스 유체 전달 시스템을 포함한다. 시스템(100)에서와 같이, FOUP 스토커가 로드 스테이션(104A-C)의 위쪽에 배치되어 기판의 배치 프로세싱 동안에 FOUP에 대한 또는 다른 기판 이송 포드에 대한 국부적인 저장을 제공할 수 있다.
작동 중에, 진공 로드록(403, 404) 내에 위치된 프로세싱 카셋트는 이송 로봇(405)에 의해서 로드 스테이션(104A-C)으로부터의 기판으로 로딩된다. 진공-기밀 도어(156)가 폐쇄되고, 진공 로드 록(403, 404)이 배기된 카셋트 이송 영역(406) 내의 진공 레벨과 동일한 정도까지 배기된다. 게이트 밸브(420)가 개방되고 프로세싱 카셋트가 회전 교차 로봇(407)에 의해서 배기된 카셋트 이송 영역(406)으로 하강된다. 이어서, 회전 교차 로봇(407)이 180° 회전되어, 프로세싱 카셋트를 반응기(401, 402) 아래쪽에 위치시킨다. 게이트 밸브(421)가 개방되고 회전 교차 로봇(407)은 프로세싱 카셋트를 반응기(401, 402) 내로 로딩하고, 게이트 밸브(421)가 폐쇄되고, 그리고 ALD 또는 CVD 프로세싱이 프로세싱 카셋트 내에 포함된 기판에 대해서 실시될 수 있다. 반응기(401, 402) 내의 프로세싱이 완료된 후에, 회전 교차 로봇(407)은 유사한 하강, 회전 및 승강 프로세스에 의해서 프로세싱 카셋트를 진공 로드록(403, 404)으로 복귀시킨다. 진공 로드록(403, 404)은 대기압으로 배기되고, 그리고, 충분히 냉각되면, 로드 스테이션(104A-C) 상에 위치된 하나 이상의 FOUP로 이송된다.
바람직한 시퀀스에서, 두 개의 프로세싱 카셋트가 반응기(401, 402) 내에서 프로세싱되며, 동시에 진공 로드록(403, 404) 내의 두 개의 프로세싱 카셋트에는 프로세싱되지 않은 기판이 로딩된다. 이러한 방식에서, 반응기들이 두 개의 서로 다른 기판들을 프로세싱하는 동안에 프로세싱되지 않은 기판을 포함하는 카셋트가 로딩되고 펌핑 배출된다. 또한, 반응기들이 다른 기판들을 프로세싱 하는 동안에 새롭게 프로세싱된 기판들을 포함하는 카셋트가 대기중으로 배기되고, 냉각되며, 그리고 언로딩된다. 그에 따라, 반응기 아이들링 시간이 몇초 정도로 단축되고, 다시 말해서 프로세싱 카셋트를 하강, 회전 및 상승시키기 위해서 회전 교차 로봇(407)이 필요로 하는 시간으로 단축된다.
대기(Atmospheric) 회전 테이블 구성
도 5는 배치 프로세싱 플랫폼(이하에서는 시스템(500)이라 한다)인 본 발명의 일 측면의 평면도를 도시한 도면으로서, 여기에서는 선형 수평 이동하는 회전식 테이블이 두 개의 스테이징 플랫폼과 두 개의 배치 프로세싱 스테이션 사이에서 프로세싱 카셋트를 이송한다.
기판 프로세싱 플랫폼의 COO의 주요 성분은 계획된 또는 계획되지 않은 유지보수와 관련된 중단 시간이다. 그에 따라, 프로세싱 플랫폼은 비교적 높은 공칭 생산량(즉, 시간당 처리되는 기판)을 가질 것이나, 만약 다른 시스템에 비해서 상당히 긴 중단 시간을 가져야 한다면, 장기간의 생산량 즉, 한달 동안 프로세싱되는 기판은 다른 시스템 보다 상당히 적어질 것이다. 이를 위해서, 보다 덜 복잡한 운 동을 실행하는 보다 적은 로봇을 구비하는 것이 프로세싱 플랫폼에 있어서 바람직한 특징이 될 것이다. 도 5에 도시된 구성이 이러한 특징을 가진다.
시스템(500)은 두 개의 반응기(501A, 501B), 대기(atmospheric) 이송 영역(502), 두 개의 스테이징 플랫폼(503A, 503B), 단일 이송 로봇(504), 프로세싱 유체 전달 시스템, 및 프로세싱 카셋트를 회전식으로 그리고 선형 수평 이동하도록 구성된 회전 테이블(505A)을 포함한다. 대기 이송 영역(502)은 도 1c와 관련하여 전술한 팩토리 인터페이스(102)에 대한 것과 유사하게 구성되고 작동되며, 이송 로봇(504), 하나 이상의 로드 스테이션(104A-B), 그리고 분위치 제어 조립체(명료함을 위해서 도시 하지 않음)를 포함한다. 배치 프로세싱 스테이션(501A, 501B)은 도 1a, 도 1b와 관련하여 전술한 배치 프로세싱 스테이션(101A, 101B)에 대한 것과 유사하게 구성되고 작동된다. 중요한 차이점은, 스테이징 플랫폼(503A, 503B)이 각각의 배치 프로세싱 스테이션(501A, 501B)에 인접하여 위치되지 않는다는 것이다. 그 대신에, 프로세싱 카셋트는 배치 프로세싱 스테이션(501A, 501B) 내에 포함된 버퍼 챔버들과 스테이징 플랫폼(503A, 503B)들 사이에서 이송된다. 프로세싱 카셋트는 회전 테이블(505A)에 의한 수평방향 이동을 통해서 방사상으로 버퍼 챔버 내로 수평으로 로딩된다. 이송 로봇(504)은 도 2a-c와 관련하여 전술한 이송 로봇(220)과 실질적으로 동일한 단일 트랙-장착형 로봇이다. 그러나, 이송 로봇(504)은 고정적이며, 그에 따라 이송 로봇(504)의 비용과 복잡성을 감소시킬 뿐만 아니라 신뢰성도 개선할 것이다. 통상적인 FOUP 및 프로세싱 카셋트 사이의 기판의 차이로 인해서, 이송 로봇이 단일 블레이드 로봇 아암만을 구비하는 것이 바 람직하며, 이는 이송 로봇(504)의 복잡성 및 비용을 추가적으로 감소시킨다.
시스템(200)의 대응 부품들과 실질적으로 동일한 구성을 가지고 실질적으로 동일하게 작동하는 시스템(500)의 다른 부품에는 설비 타워(130A, 130B), 오버헤드 랙(140), 및 프로세스 유체 전달 시스템이 포함된다. 시스템(100, 200)에서와 같이, FOUP 스토커가 로드 스테이션(104A, 104B)의 위쪽에 위치되어 기판의 배치 프로세싱 동안에 FOUP 또는 다른 기판 이송 포트의 국부적인 저장을 제공한다.
작동 중에, 스테이징 플랫폼(503A, 503B) 상에 위치된 프로세싱 카셋트는 이송 로봇(504)에 의해서 프로세싱되지 않은 기판으로 로딩될 것이다. 스테이징 플랫폼(503A, 503B)은 새롭게 프로세싱된 기판들에 대한 냉각 스테이션으로서의 추가적인 역할을 할 수 있다. 회전 테이블(505A)은 수평 액츄에이터 및 작은(small) Z-이동을 이용하여 프로세싱되지 않은 기판들이 로딩된 프로세싱 카셋트를 제거하도록 구성된다. 이어서, 회전 테이블(505A)은 프로세싱되지 않은 기판의 프로세싱 카셋트를 아이들 배치 프로세싱 스테이션에 인접하여 위치시키기 위해서 필요에 따라 회전된다. 프로세싱 후에, 회전 테이블(505A)은 프로세싱되지 않은 기판을 냉각, 언로딩 및 다시 로딩하기 위해서 스테이징 플랫폼(503A, 503B)으로 카셋트를 복귀시킨다.
바람직한 시퀀스에서, 배치 프로세싱 스테이션(501A, 501B)이 기판을 프로세싱하는 동안에, 기판 냉각 및 로딩/언로딩 작동이 실시된다. 배치 프로세싱 스테이션, 예를 들어 배치 프로세싱 스테이션(501A)이 제 2 프로세싱 카셋트내의 기판들을 프로세싱하는 동안에, 제 1 프로세싱 카셋트가 스테이징 플랫폼, 예를 들어 스테이징 플랫폼(503A) 상에 위치되고, 이어서 기판으로 로딩된다. 배치 프로세싱 스테이션(501A) 내에서의 프로세싱의 완료에 앞서서, 유입구 라인(505)은 스테이징 플랫폼(503A)으로부터 제 1 프로세싱 카셋트를 제거한다. 제 2 프로세싱 카셋트에 대한 프로세싱이 완료되면, 회전 테이블(505A)은 배치 프로세싱 스테이션(501A)으로부터 제 2 프로세싱 카셋트를 제거하고, 180° 회전되고, 제 1 프로세싱 카셋트를 배치 프로세싱 스테이션(501A) 내로 위치시킨다. 이어서, 회전 테이블(505A)은 냉각 및 후속 언로딩을 위해서 제 2 프로세싱 카셋트를 이용가능한 스테이징 플랫폼(503A, 503B) 상에 위치시킨다. 이러한 방식에서, 배치 프로세싱 스테이션(501A)은 초 단위(a matter of seconds) 정도로만 아이들링하고, 다시 말해서 카셋트를 제거하기 위해서 회전 테이블(505A)에서 요구되는 시간 정도로만 아이들링하고, 180° 회전하며, 그리고 제 2 카셋트를 배치 프로세싱 스테이션 내에 위치시킨다. 또한, 도 5에 도시된 구성은 다른 구성의 배치 프로세싱 플랫폼 보다 적은 수의 및/또는 단순한 로봇을 가진다.
하나의 구성에서, 스테이징 플랫폼(503A, 503B)은 프로세싱 카셋트 및/또는 기판의 이송을 수용할 수 있도록 충분히 수직 이동할 수 있다. 이러한 구성은 회전 테이블(505A)의 디자인을 보다 단순화시키며, 신뢰성을 높인다.
본 발명의 실시예에 대해서 설명하였지만, 본 발명의 기본적인 범위 내에서도 그리고 특허청구범위에 의해서 결정되는 범위 내에서도, 본 발명의 다른 실시예 및 추가적인 실시예들이 안출될 수 있을 것이다.

Claims (31)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 기판 프로세싱 장치로서:
    제 1 기판 프로세싱 챔버;
    둘 또는 셋 이상의 기판을 지지하도록 구성된 프로세싱 카셋트;
    카셋트 이송 영역 내에 배치되는 스테이징 플랫폼으로서, 상기 카셋트 이송 영역이 대기압 미만의 압력으로 유지되는, 스테이징 플랫폼;
    상기 스테이징 플랫폼과 상기 기판 프로세싱 챔버 사이에서 상기 프로세싱 카셋트를 이송하도록 구성되는 카셋트 핸들러 로봇으로서, 상기 카셋트 핸들러 로봇이:
    상기 카셋트 이송 영역과 상기 제 1 기판 프로세싱 챔버 사이에서 그리고 상기 카셋트 이송 영역과 제 1 로드 록 사이에서 상기 프로세싱 카셋트를 수직방향으로 이송하도록 구성되는 승강 메카니즘으로서, 상기 제 1 기판 프로세싱 챔버 및 상기 제 1 로드 록이 상기 카셋트 이송 영역의 위쪽에 배치되는, 승강 메카니즘, 및
    상기 프로세싱 카셋트를 상기 제 1 기판 프로세싱 챔버 및 상기 제 1 로드 록 아래쪽의 위치들로 수평방향으로 병진이동시키도록 구성되는 선형 트랜스레이터를 포함하는, 카셋트 핸들러 로봇;
    기판 이송 포드와 상기 프로세싱 카셋트 사이에서 기판을 이송하도록 구성되는 기판 이송 로봇; 그리고
    대기압의 영역으로부터 상기 카셋트 이송 영역으로 상기 프로세싱 카셋트를 이송하는 것을 지원하도록 구성되는 제 1 로드 록을 포함하는
    기판 프로세싱 장치.
  10. 제 9 항에 있어서,
    제 2 기판 프로세싱 챔버를 더 포함하고,
    상기 카셋트 핸들러 로봇은 상기 제 1 기판 프로세싱 챔버, 상기 제 2 기판 프로세싱 챔버, 및 상기 제 1 로드 록의 아래쪽의 위치들로 상기 프로세싱 카셋트를 수평방향으로 병진이동시키도록 추가로 구성되는
    기판 프로세싱 장치.
  11. 제 9 항에 있어서,
    제 2 로드 록; 및
    제 2 기판 프로세싱 챔버를 더 포함하고,
    상기 제 1 및 제 2 기판 프로세싱 챔버 및 상기 제 1 및 제 2 로드 록은 상기 카셋트 이송 영역의 위쪽에 배치되며,
    상기 카셋트 핸들러 로봇은 회전식 테이블을 더 포함하며,
    상기 회전식 테이블은:
    프로세싱 카셋트를 각각의 로드 록 아래쪽에 그리고 각각의 증착 챔버 아래쪽에 회전식으로 위치시키도록;
    두 개의 프로세싱 카셋트를 상기 증착 챔버와 상기 카셋트 이송 영역 사이에서 수직방향으로 이송하도록; 그리고
    두 개의 프로세싱 카셋트를 상기 로드 록과 상기 카셋트 이송 영역 사이에서 수직방향으로 이송하도록; 구성되는
    기판 프로세싱 장치.
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 기판 프로세싱 장치로서:
    기판 프로세싱 챔버;
    둘 또는 셋 이상의 기판을 지지하도록 구성된 프로세싱 카셋트;
    스테이징 플랫폼과 상기 기판 프로세싱 챔버 사이에서 상기 프로세싱 카셋트를 이송하도록 구성되고, 승강 메카니즘을 수용하도록 구성되는 카셋트 핸들러 로봇;
    다수의 기판 핸들링 블레이드를 이용하여 기판 이송 포드와 상기 프로세싱 카셋트 사이에서 기판을 이송하도록 구성되는 기판 이송 로봇;
    전체적으로 대기압 미만의 압력으로 유지되고 스테이징 플랫폼이 내부에 배치되는 카셋트 이송 영역;
    대기압의 영역으로부터 상기 카셋트 이송 영역으로 상기 프로세싱 카셋트를 이송하는 것을 지원하도록 구성되는 제 1 로드 록;
    제 2 로드 록; 및
    제 2 기판 프로세싱 챔버를 포함하고,
    상기 카셋트 핸들러 로봇이 회전식 테이블을 포함하며,
    상기 회전식 테이블은:
    프로세싱 카셋트를 각각의 로드 록 아래쪽에 그리고 각각의 프로세싱 챔버 아래쪽에 회전식으로 위치시키도록;
    두 개의 프로세싱 카셋트를 상기 프로세싱 챔버와 상기 카셋트 이송 영역 사이에서 수직방향으로 이송하도록; 그리고
    두 개의 프로세싱 카셋트를 상기 로드 록과 상기 카셋트 이송 영역 사이에서 수직방향으로 이송하도록; 구성되는
    기판 프로세싱 장치.
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
KR1020097001296A 2006-06-26 2007-06-15 원자층 증착 및 화학기상 증착을 위한 배치 프로세싱 플랫폼 KR101058326B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/426,563 2006-06-26
US11/426,563 US7833351B2 (en) 2006-06-26 2006-06-26 Batch processing platform for ALD and CVD
PCT/US2007/071368 WO2008002780A2 (en) 2006-06-26 2007-06-15 Batch processing platform for ald and cvd

Publications (2)

Publication Number Publication Date
KR20090024275A KR20090024275A (ko) 2009-03-06
KR101058326B1 true KR101058326B1 (ko) 2011-08-22

Family

ID=38846399

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097001296A KR101058326B1 (ko) 2006-06-26 2007-06-15 원자층 증착 및 화학기상 증착을 위한 배치 프로세싱 플랫폼

Country Status (7)

Country Link
US (2) US7833351B2 (ko)
EP (1) EP2044618A2 (ko)
JP (1) JP5567335B2 (ko)
KR (1) KR101058326B1 (ko)
CN (1) CN101438387B (ko)
TW (1) TW200811926A (ko)
WO (1) WO2008002780A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101524251B1 (ko) * 2013-06-11 2015-06-01 주식회사 테라세미콘 클러스터형 배치식 기판처리 시스템

Families Citing this family (275)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
GB2452320B (en) * 2007-09-03 2012-04-11 Dek Int Gmbh Workpiece processing system and method
US9117870B2 (en) * 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
JP5181809B2 (ja) * 2008-04-30 2013-04-10 村田機械株式会社 加工処理システム及び搬送方法
EP2141739A3 (en) * 2008-06-30 2011-01-12 Intevac, Inc. System and method for substrate transport
US9157145B2 (en) 2008-07-29 2015-10-13 Intevac, Inc. Processing tool with combined sputter and evaporation deposition sources
CN101764076A (zh) * 2008-10-30 2010-06-30 北京北方微电子基地设备工艺研究中心有限责任公司 半导体加工设备及其传输系统及基片的传输方法
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI564427B (zh) 2009-12-18 2017-01-01 財團法人工業技術研究院 聚對二甲苯薄膜的形成方法
CN102115876A (zh) * 2009-12-31 2011-07-06 财团法人工业技术研究院 化学气相沉积装置与聚对二甲苯薄膜的形成方法
US8562272B2 (en) * 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8282698B2 (en) * 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9951419B2 (en) * 2011-09-03 2018-04-24 Ying-Bing JIANG Apparatus and method for making atomic layer deposition on fine powders
US9048271B2 (en) * 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101696354B1 (ko) * 2011-11-22 2017-01-23 피코순 오와이 뱃치의 기판들을 처리하기 위한 원자층 퇴적 반응기 및 그 방법
JP5921168B2 (ja) * 2011-11-29 2016-05-24 株式会社日立国際電気 基板処理装置
US9390949B2 (en) * 2011-11-29 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus and method of use
US10381254B2 (en) * 2011-11-29 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer debonding and cleaning apparatus and method
US11264262B2 (en) * 2011-11-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus
JP5569544B2 (ja) * 2012-01-31 2014-08-13 株式会社安川電機 搬送ロボット
US9748125B2 (en) * 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
JP5972587B2 (ja) * 2012-02-01 2016-08-17 株式会社日立国際電気 基板処理装置、及び半導体装置の製造方法並びにプログラム
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
SG11201503659QA (en) 2012-11-28 2015-06-29 Acm Res Shanghai Inc Method and apparatus for cleaning semiconductor wafer
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
DE102013101777A1 (de) * 2013-02-22 2014-08-28 Aixtron Se Vorrichtung zum Be- und Entladen einer CVD-Anlage
FI125222B (en) * 2013-03-22 2015-07-15 Beneq Oy Apparatus for processing two or more substrates in a batch process
WO2015023591A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc Substrate processing systems, apparatus, and methods with factory interface environmental controls
US10199256B2 (en) * 2013-09-28 2019-02-05 Applied Materials, Inc. Methods and systems for improved mask processing
TWI624307B (zh) * 2013-11-06 2018-05-21 All Ring Tech Co Ltd Carrier transfer method and device
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR20160026572A (ko) 2014-09-01 2016-03-09 삼성전자주식회사 기판 처리 장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10359743B2 (en) * 2014-11-25 2019-07-23 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI574342B (zh) * 2015-06-12 2017-03-11 漢民科技股份有限公司 自動化處理方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN110265322B (zh) 2016-06-30 2020-10-30 株式会社国际电气 衬底处理装置、半导体器件的制造方法及记录介质
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI742201B (zh) * 2016-12-02 2021-10-11 美商應用材料股份有限公司 整合式原子層沉積工具
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR102388528B1 (ko) * 2017-07-19 2022-04-20 인테벡, 인코포레이티드 나노-라미네이트 광학 코팅을 형성하기 위한 시스템
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
JP6704423B2 (ja) * 2018-01-17 2020-06-03 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
CN108421679B (zh) * 2018-05-14 2024-02-02 苏州固孚智能装备有限公司 全自动双真空箱灌胶机
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN209276630U (zh) * 2018-07-02 2019-08-20 南京原磊纳米材料有限公司 一种原子层沉积设备用金属盒及原子层沉积设备
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
JP7445138B2 (ja) 2018-11-28 2024-03-07 シンフォニアテクノロジー株式会社 ウェーハストッカ
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2022521860A (ja) * 2019-02-19 2022-04-12 ビーコ・インストゥルメンツ・インコーポレイテッド 自動バッチ生産の薄膜付着システム及びその使用方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20210018762A (ko) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 온도 제어된 화학물질 전달 시스템 및 이를 포함하는 반응기 시스템
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5562383A (en) 1993-04-13 1996-10-08 Tokyo Electron Kabushiki Kaisha Treatment apparatus
US6066210A (en) 1995-08-05 2000-05-23 Kokusai Electric Co., Ltd. Substrate processing apparatus with a processing chamber, transfer chamber, intermediate holding chamber, and an atmospheric pressure section

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4770590A (en) * 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5867363A (en) * 1992-09-18 1999-02-02 Pinnacle Research Institute, Inc. Energy storage device
US5464453A (en) * 1992-09-18 1995-11-07 Pinnacle Research Institute, Inc. Method to fabricate a reliable electrical storage device and the device thereof
FR2720542B1 (fr) * 1994-05-30 1996-07-05 Alsthom Cge Alcatel Procédé de fabrication d'une électrode de supercondensateur.
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
DE19629154C2 (de) * 1996-07-19 2000-07-06 Dornier Gmbh Bipolare Elektroden-Elektrolyt-Einheit
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6174337B1 (en) * 1997-01-06 2001-01-16 Pinnacle Research Institute, Inc. Method of construction of electrochemical cell device using capillary tubing and optional permselective polymers
NL1005410C2 (nl) * 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
US6275371B1 (en) * 1998-08-12 2001-08-14 Hitachi Maxwell, Ltd. Electrode material for electrochemical capacitor, electrochemical capacitor comprising the same, and method for the production of the same
US6517691B1 (en) * 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
US6493211B1 (en) * 1999-03-17 2002-12-10 Nippon Chemi-Con Corporation Electrolyte for electrolytic capacitor
US6339528B1 (en) * 1999-09-16 2002-01-15 Ness Capacitor Co., Ltd. Metal oxide electrode for supercapacitor and manufacturing method thereof
US6426863B1 (en) * 1999-11-25 2002-07-30 Lithium Power Technologies, Inc. Electrochemical capacitor
US6632068B2 (en) * 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
WO2002039468A2 (en) * 2000-11-09 2002-05-16 Foc Frankenburg Oil Company Est. A supercapacitor and a method of manufacturing such a supercapacitor
KR100392667B1 (ko) * 2000-11-28 2003-07-23 주식회사 네스캡 유기전해질을 이용한 금속산화물 전기화학 의사커패시터
NL1020054C2 (nl) * 2002-02-25 2003-09-05 Asm Int Inrichting voor het behandelen van wafers, voorzien van een meetmiddelendoos.
JP2003258058A (ja) * 2002-02-27 2003-09-12 Anelva Corp 基板処理装置の運転方法
US7061749B2 (en) * 2002-07-01 2006-06-13 Georgia Tech Research Corporation Supercapacitor having electrode material comprising single-wall carbon nanotubes and process for making the same
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
KR20070089197A (ko) * 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 배치 처리 챔버를 사용한 기판 처리 기기
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
KR100594470B1 (ko) * 2004-12-29 2006-06-30 동부일렉트로닉스 주식회사 연속 공정이 가능한 반도체 제조 설비

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5562383A (en) 1993-04-13 1996-10-08 Tokyo Electron Kabushiki Kaisha Treatment apparatus
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US6066210A (en) 1995-08-05 2000-05-23 Kokusai Electric Co., Ltd. Substrate processing apparatus with a processing chamber, transfer chamber, intermediate holding chamber, and an atmospheric pressure section

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101524251B1 (ko) * 2013-06-11 2015-06-01 주식회사 테라세미콘 클러스터형 배치식 기판처리 시스템

Also Published As

Publication number Publication date
US20070295274A1 (en) 2007-12-27
US20110041764A1 (en) 2011-02-24
JP5567335B2 (ja) 2014-08-06
US7833351B2 (en) 2010-11-16
KR20090024275A (ko) 2009-03-06
WO2008002780B1 (en) 2008-05-08
EP2044618A2 (en) 2009-04-08
CN101438387B (zh) 2012-07-04
WO2008002780A2 (en) 2008-01-03
JP2009541599A (ja) 2009-11-26
TW200811926A (en) 2008-03-01
CN101438387A (zh) 2009-05-20
WO2008002780A3 (en) 2008-03-20

Similar Documents

Publication Publication Date Title
KR101058326B1 (ko) 원자층 증착 및 화학기상 증착을 위한 배치 프로세싱 플랫폼
EP1166180B1 (en) Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US7105463B2 (en) Load lock chamber having two dual slot regions
TWI335618B (en) Substrate processing apparatus using a batch processing chamber
US7316966B2 (en) Method for transferring substrates in a load lock chamber
KR20150039152A (ko) 로드락 디자인 및 로드락을 사용하기 위한 방법
US20060251499A1 (en) Linear substrate delivery system with intermediate carousel
JP2002516239A (ja) イン・シトゥ基板搬送シャトル
JPH09104982A (ja) 基板処理装置
US20170352562A1 (en) Dodecadon transfer chamber and processing system having the same
US20060156982A1 (en) Apparatus for fabricating semiconductor device
JPH09107015A (ja) 基板処理装置
JP2004146449A (ja) 基板処理装置
JP2007027780A (ja) 基板処理装置
KR200444491Y1 (ko) 처리 장비용 더블 이중 슬롯 로드록
JP2003007794A (ja) 基板搬送機構および基板処理装置
JP2001044183A (ja) 基板処理装置
JP2000068216A (ja) 基板処理装置
JP2001358192A (ja) 半導体製造設備
JP2002043389A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140730

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190801

Year of fee payment: 9