KR0155158B1 - 종형 처리 장치 및 처리방법 - Google Patents

종형 처리 장치 및 처리방법 Download PDF

Info

Publication number
KR0155158B1
KR0155158B1 KR1019900011345A KR900011345A KR0155158B1 KR 0155158 B1 KR0155158 B1 KR 0155158B1 KR 1019900011345 A KR1019900011345 A KR 1019900011345A KR 900011345 A KR900011345 A KR 900011345A KR 0155158 B1 KR0155158 B1 KR 0155158B1
Authority
KR
South Korea
Prior art keywords
wafer
gas
locklock
chamber
heat treatment
Prior art date
Application number
KR1019900011345A
Other languages
English (en)
Other versions
KR910003758A (ko
Inventor
노보루 후세
히로부미 기타야마
Original Assignee
카자마 젠쥬
도오교오 에레구토론 사가미 가부시끼 가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP1192172A external-priority patent/JP2909481B2/ja
Priority claimed from JP1192174A external-priority patent/JP2744934B2/ja
Priority claimed from JP1192173A external-priority patent/JP2744933B2/ja
Application filed by 카자마 젠쥬, 도오교오 에레구토론 사가미 가부시끼 가이샤 filed Critical 카자마 젠쥬
Publication of KR910003758A publication Critical patent/KR910003758A/ko
Application granted granted Critical
Publication of KR0155158B1 publication Critical patent/KR0155158B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • C30B35/005Transport systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

내용없음

Description

종형(縱型) 처리 장치 및 처리방법
제1도는, 본 발명을 실시하는 종형 CVD 장치의 개략 설명도.
제2도는, 실시예 장치에 적용된 로우드록실을 설명하기위한 개략 설명도.
제3도는, 실시예에 있어서의 낱장식 건식 에칭부의 개략 측면도.
제4도 내지 제6도는, 본 발명의 변형예를 설명하기 위한 개략 설명도.
제7도는, 종형 처리로의 극소에 있어서의 에칭을 행하도록한 종형처리로의 개략 단면도.
제8도는, 실시예 4에 있어서의 종형 처리로에 로우드록 방식을 이용한 장치의 개략 단면도.
제9도는, 복수의 로우드록실에 대한 웨이퍼의 이송기구를 설명하는 평면도.
제10도는, 종형처리로의 일부분에 래디컬을 공급하도록 한 종형처리로의 개략 단면도.
제11도는, 플라즈마 에칭이 가능한 종형처리로의 개략 단면도.
제12도는, 로우드록 방식의 변형예 설명을 위한 개략 단면도 이다.
* 도면의 주요부분에 대한 부호의 설명
10 : 프로세스 튜브 11 : 매니포울드
12 : 가스 도입관 14 : 히이터
16 : 배기관 18 : 웨이퍼 보우트
19 : 누름기구 20 : 웨이퍼
22 : 보온통 23 : 플랜지
25 : 볼나사 26,26' : 보우트 엘리베이터
28 : 셔터 30 : 플라즈마 생성용 전극
32 : 에칭가스 도입관 40,40' : 제 1의 로우드록 실
40a : 가스 도입관 40b : 배기관
42 : 제 2의 로우드록 실 43a,44a : 제 4의 로우드록 실
44 : 제 3의 로우드록 실 44a,44b : 로우드록 실
46,50 : 게이트 밸브 51 내지 56 : 게이트 밸브
58,59 : 캐리어 60,62 : 웨이퍼반송아암
61 : 핀셋 63,64 : 포오크
65,67 : 지지점 66,68 : 링크
70 : 핸들러 71,72 : 웨이퍼 스토커
74 내지 78 : 카세트 80 : 건식 에칭부
81 : 가스 도입관 82 : 용기
83 : 가스 배수관 84 : 매스플로우콘트롤러
85 : 처리용기 86 : 회전부
87 : 배기관 90 : 크세논 램프
91 : 배관 92,93 : 처리장치
94 : 래디컬 발생기 95 : 에칭가스 도입관
96 : 래디컬 도입관
본 발명은, 종형 열처리장치 및 종형열처리장치에 따른 처리방법에 관한 것이다.
근래에, LSI의 고집적도화에 의하여, 예를들면 MOS·FET 의 실장 밀도가 향상하고, 1M, 4M DRAM 의 최소설계폭이 1μm 이하로도 되고, 게이트산화막의 막두께도 200Å 이하로 되었다.
더욱이, 16 M DRAM 의 게이트 산화막은, 100 내지 150Å 으로 더욱 박막화의 경향으로 되고 있다.
막형성전의 전처리로서 실리콘 표면을 HF 나 HCl로 습식세정한 경우, 세정직후는 청정한 실리콘 표면이 나타나지만, 즉시 공기중의 산소나 수분과 실리콘이 반응하여 실리콘표면에 10 Å 전후의 자연산화막이 형성된다.
또한, 횡형로(橫型爐) 의 경우에는, 반도체 웨이퍼를 탑재한 보우트를 예를들면 1000℃ 로 가열된 반응관 내부로 수평방향의 구동에 의하여 로우딩하는 때에, 처리로내와 처리로밖의 온도차에 기인하는 대류(對流) 에 의하여 공기가 반응관 내부로 혼입하는 것을 피할수 없었다.
따라서, 횡형로의 경우에는, 웨이퍼를 로우딩하는 때에, 가열된 웨이퍼와 공기중의 산소가 반응하여 50 내지 100Å 의 자연산화막이 회피되지 않는다.
또한, 자연산화막은 다공성으로 막의 질이 나쁘기 때문에 게이트 산화막의 막뚜께를 제어할 필요가 있는 고밀도소자로의 대응으로서는, 횡형로는 그의 구조적이유로 부터 한계가 있었다.
한편, 종형로의 경우에는, 횡형로와 비교하여 산소의 말려들어감이 적고, 자연산화막의 형성도 30 내지 50 Å 으로 작기 때문에 현재의 1M DRAM 의 막형성에는 이 종형로의 사용이 주류를 이루고 있다.
그러나, 4M, 16M 로 더욱 고밀도화가 진전되면, 이 종형로의 경우에도 로우딩·언로우딩 시에 공기의 침입 및 웨이퍼의 흡착수분에 기인하여 발생하는 자연산화막의 생성을 억제할 필요가 있다.
1989년 3월에 발행된 잡지「電子材料」의 제38 페이지로부터 제 39 페이지에는, 종형로에 있어서 웨이퍼를 로우딩하는 분위기를 엄밀하게 제어하기 위한 구성이 개시되어 있다.
여기에 개시되어 있는 로우드록 (Load-lock) 방식이라 함은, 종형로의 아래쪽에 배치되는 보우트 상하기구등을 로우드록 실 내로 배치하고, 이 실내를 진공으로 한후 질소등의 불활성 가스로 완전히 치환한 후에 보우트의 로우딩을 행하도록 하고 있다.
이 결과, 로우딩시에 웨이퍼가 산화되는 것으르 방지하고, 자연산화막의 형성을 대폭으로 억제하고 있다.
더우기, 이 로우드록실에 예비실을 접속하고, 로우드록실로의 웨이퍼의 로우딩 또는 언로우딩을 질소분위기에서 실시하도록 하고 있다.
또한, 일본국 특개소 63-263642 호 공보에는, 플라즈마 기상 성장장치에 진공예비실을 접속하고, 이 진공예비실에서 웨이퍼를 프리배이크 (Pre-bake) 하여 수분등을 제거하는 것이 개시되어 있다.
그러나 상술한 종래의 기술은 종형로에 웨이퍼를 로우딩하는 공간을 산소를 차단한 분위기로 함으로써, 자연 산화막의 막두께를 적극적으로 작게하는 것이다.
소자의 고밀도화가 급속하게 진행되어, 산화막의 막두께 제어가 보다 치밀화하려면, 종래와 같은 종형로로의 웨이퍼의 로우딩시의 자연산화막의 생성을 억제하는 것만으로는 대처할수 없고, 웨이퍼 세정후부터 열처리로로의 반송의 사이에 공기중의 산소나 수분과 실리콘이 반응하여 형성되는 10 Å 전후의 자연산화막의 생성을 억제할 필요에 달려 있다.
또한, 산화막의 막형성장치 이외의 처리장치에서도, 특히 접촉저항을 적게할 필요가 있는 폴리실리콘 막 생성이나 캐패시터 막생성시에도 여분의 자연산화막을 제거하여 수율의 향상을 확보할 필요가 있다.
본 발명의 목적은, 자연산화막의 생성은 인정하면서도, 막형성등의 본래의 처리공정의 개시전에, 생성된 자연산화막을 미리제거하고, 그후 막형성등의 처리를 하기 위한 로우딩에 있어 자연산화막을 형성하지 않는 방법 및 그를 위한 장치로서, 종형처리로를 에칭겸용으로 한 것, 및 종형처리로의 국소(局所) 에 있어서의 에칭을 가능하게 하는 장치, 또한 종형처리로와는 별개의 용기내에서의 에칭을 가능하게 하는것, 그리고 낱장식으로 에칭을 행하는 장치를 제공함에 있다.
또한, 에칭방법은, 불화수소, 질소, 환원가스, 전극을 사용한 플라즈마 에칭, 히이터가열과 가스의 병용등 여러가지의 것이 가능하다.
[실시예]
본 발명을 종형 CVD 장치에서의 실시에 적용한 실시예에 대하여 도면을 참조하여 설명한다.
[실시예 1]
제1도에 있어서, 프로세스튜브(10)는 예를들면, 석영으로 원통형상으로 형성되고, 그 축방향을 수직방향으로 함으로써 종형 열처리부를 구성한다.
이 프로세스튜브(10)의 아래쪽에 설치한 매니포울드(11)의 한 끝단에는, 가스 도입관(12)이 형성되어 있다.
이 가스도입관(12)은, CVD로 산화막을 형성하기 위한 O2, 폴리실리콘을 형성하기 위한 SiH4, 실리콘 질화막을 형성하기 위한 NH4와 SiH2Cl2, 피어지 가스 예를들면 N2가스를 도입가능하고, 또한, 웨이퍼(20)의 자연산화막을 제거하기위한 클리닝용 가스를 각각 전환하여 도입가능하다.
이 클리닝용 가스로서는, 플라즈마레스 에칭가스로서 NF3, HCL 등을 들수 있으며, 환원가스로서는 H2등을 들수 있다.
이 가스도입관(12)의 위치는 프로세스튜브(10)의 위끝단쪽에 형성하여도 좋다.
또한, 프로세스 튜브(10)의 주위에는 히이터(14)가 형성되어, 이 프로세스튜브(10)내를 소정온도 예를들면, CVD 의 경우 500 내지 1000℃, 산화나 확산의 경우 800 내지 1200℃ 로 설정가능하다.
또한, 이 매니포울드(11)의 다른끝단쪽에는 배기관(16)이 연결되고, 이 배기관(16)은 도시하지 아니한 진공펌프에 접속되어 있다.
그리고, 이 배기관(16)을 통하여 진공흡인 함으로써, 상기 프로세스튜브(10) 내를 소정의 진공도로 설정하고, 또는 프로세스튜브(10)에 도입된 가스를 배기가능하도록 하고 있다.
이 프로세스 튜브(10) 내에는 배치처리 하기 위한 웨이퍼 보우트(18)가 반입반출 가능하도록 되어 있다.
이 보우트(18)는, 웨이퍼(20)를 수평상태에서, 또한 종방향으로 소정간격을 두고 다수매의 웨이퍼(20)를 탑재가능하게 하고 있다.
이 보우트(18)는, 상기 프로세스 튜브(10)의 균열영역에 각 웨이퍼(20)를 설정하기 위한 보온통(22)에 얹어놓아 고정되고, 이 보온통(22)이 보우트 엘리베이터 (26)에서 상하방향으로 구동됨으로써, 상기 보우트(18)를 프로세스 튜브(10) 내에 로우딩하고, 또는 언로우딩 가능하도록 하고 있다.
상기 보온통(22)의 아래끝단부에는 플랜지(24)가 형성되어, 보우트(18)를 프로세스튜브(10) 내에 설정한 후에, 이 플랜지(24)가 매니포울드(11)의 아래끝단개구부를 밀폐하도록 하고 있다.
또한, 보우트(18)가 프로세스튜브(10)로 부터 안전하게 언로우딩된 후에는, 셔터(28)가 폐쇄구동되고, 매니포울드(11)의 아래끝단 개구부를 밀폐하도록 하고 있다.
또한, 본 실시예 장치에서는 상기 웨이퍼(20) 표면으로의 자연 산화막의 생성을 적극 절감하기 위하여 로우드록 방식을 채용하고 있다.
즉, 상기 프로세스튜브(10)의 아래쪽의 영역으로서, 상기 보우트 엘리베이터 (26)의 상하 이동기구를 포함하는 공간은, 제 1 의 로우드록실(40) 내에 설정되어 있다.
또한, 이 제 1 의 로우드록실(40)의 좌측에는, 웨이퍼 반송아암(60)을 수납한 제 2 의 로우드록 실(42)이 배치되고, 더욱 좌측에는, 제 3 의 로우드록 실(44)이 배치되어 있다.
상기 제 1 의 로우드록실(40)은 그 내부를 진공치환하여, 비산화 분위기로 하여 불활성 가스등에 의한 퍼어지를 실시할수 있도록 가스 도입관(40a), 배기관(40b)이 접속되어 있다.
동일하게, 제 2, 제 3 의 로우드록 실(42),(44) 에도 각각 도시하지 아니한 가스 도입관 및 배기관이 접속되어 있다.
또한, 각 로우드록 공간을 기말하게 차단하고, 또는 대기와 차단하기 위하여 각 로우드록실 (40),(42),(44)의 측면에는, 제2도에 나타낸 바와같은 게이트 밸브 (51),(52),(53),(54),(55),(56) 가 형성되어 있다.
그리고, 본 실시예 장치에서는, 복수매의 웨이퍼(20)를 탑재가능한 웨이퍼 스토커(71), (72) 를, 제 3 의 로우드록 실(44) 내에 설정하고, 이 스토커(71)로 부터 1 장씩 웨이퍼 (20) 를 꺼내어, 제 1 의 로우드록 실(40) 내부에 배치되어 있는 상기 보우트(18)에 웨이퍼(20)를 옮겨 바꾸도록 하고 있다.
그리고, 프로세스튜브(10) 에서의 처리가 종료한 후는, 보우트(18)로 부터 1 장씩 웨이퍼(20)를 꺼내어, 제 3 의 로우드록 실(44) 내부에 배치되어 있는 스토커 (72)에 웨이퍼(20)를 옮겨 바꾸고, 옮겨바꾸는 동작 종료후에, 제 3 의 로우드록실 (44)로부터 웨이퍼(20)를 반송아암(62)에 의하여 반출하여 카세트(74) 내지 (78) 에 옮겨바꾸도록 하고 있다.
상기 스토커(71),(72) 및 카세트(74) 내지 (78) 는 도시하지 않은 상하 이동기구에 의하여 그 상하방향의 위치를 가변하도록 하고 있다.
또한, 웨이퍼 반송아암(60),(62)은, 반송아암 전체의 회전과 아암의 신축이 가능하다.
제 2 의 로우드록실(42) 에는 제2도에 나타낸 바와같이 게이트 밸브(51)를 통하여 건식에칭부(80)를 접속하고 있다.
건식에칭부(80)는 제3도에 나타낸 바와같이, 불화수소가 담긴 용기(82)의 한끝단에는 가스도입관(81)을 접속하고, 다른 끝단쪽에는 가스배수관(83)을 접속하여 매스플로우 콘트롤러(84)를 통하여 처리용기(85)에 연결하고 있다.
이 처리용기(85) 내에는 웨이퍼(20) 를 회전부(86) 위에 태우고, 도시하지 않은 진공원에 의하여 회전부 (86) 에 흡착가능하도록 되어 있고, 측면에는 배기관(87) 이 접속되어 있어, 도시하지 않은 배기장치에서 배기가능하도록 하고 있다.
처리용기(85)에는 도시하지 않은 게이트 밸브를 통하여 도시하지 않은 로우드록실의 한끝단에 연결하고 있다.
그리고, 상기 로우드록실의 다른끝단측은 게이트밸브 (51) 를 통하여 제 2 의 로우드록 실(42)에 연결하고 있다.
불화수소가스에 접촉하는 용기(82)나 처리용기(85) 등은 내면이 불소수지 코우팅되어 있다.
제 1 의 로우드록실(40)의 안쪽에, 프로세스 튜브의 개구부에 예를들면 할로겐 램프나 크세논 램프(90)(제1도) 를 형성한다. 또한, 상기 로우드록실(40)의 주위에는 배관(91)을 형성한다.
웨이퍼 반송아암(60),(62)에는 각각 별개로 동작가능한 2 개의 포오크 (63),(64)를 형성하고 있다.
제 1 의 로우드록실(40)의 측면에는 도시하지 않은 메인티넌스 도어가 O 링을 씨일재로 하여 개폐가능하도록 형성된다.
이 메인티넌스 도어의 크기는 보우트(18)나 보온통(22)을 출입가능한 크기로 설정되어 있다.
제 1 의 로우드록실(40), 또는 상기 메인티넌스도어의 일부에 도시하지 않은 내부관찰용창 및 내부관찰용 TV 카메라를 형성하여 놓고, 로우드록실(40) 내부에는 도시하지 않은 조명램프를 형성하고 있다.
이상 제1도의 장치는 종형처리로와는 별도로 낱장식의 에칭부를 형성하고, 그들을 로우드록 방식으로 연결한 것이다.
이들 기구를 사용하여 실제로 웨이퍼가 어떠한 처리를 받는가에 대하여 설명한다.
먼저, 제2도에서 게이트 밸브(55)를 오픈으로한 상태에서 카세트(74) 내지 (78)에 수납된 복수매의 웨이퍼(20)를 반송아암(62)에 의하여 차례로 스토커(71 에 이송한다.
그후 게이트 밸브(55)를 닫고, 제 3 의 로우드록실(44)을 도시하지 아니한 배기관으로부터 진공배기한후 게이트 밸브(53)를 오픈으로 한다.
그 결과, 제 2 의 로우드록실(42)과 제 2 의 로우드록(44)은 진공상태로 접속된다.
다음에 게이트 밸브(51)를 오픈으로 하여 스토커(71)에 수납된 웨이퍼(20) 를 반송아암(60)에 의하여 건식에칭장치(80)로 1 장 이송한다.
건식에칭장치(80) 내의 도시하지 않은 로우드록 실을 통하여 처리용기(85)(제3도)내의 회전부(86)상에 웨이퍼(20)를 태우고, 가스도입 (81)으로 부터 N2가스를 도입하고, 불화수소가스를 포함하는 N2가스를 처리용기(85)로 공급한다.
웨이퍼(20)는 회전부(60)에 흡착회전되고, 불화수소에 의하여 웨이퍼(20) 의 표면의 자연산화막을 건식에칭된다.
이때, 웨이퍼는 한 장씩의 에칭이므로, 얼룩이 없이 확실하게 에칭처리가 행해지고, 제품의 정밀도가 향상하게 된다.
잡지 세미콘 오오사카(大阪) 1989년 5월 22일 발행으로 하시모토카세이 가부시끼 가이샤 키쿠야마등에 의하여 발표된 HF 가스에 의한 산화막의 에칭데이타에서는, 건식에칭시의 온도가 4 내지 50℃ 의 사이에서는 20℃ 에 있어서의 에칭이 가장 빠르게 되는 것을 나타내고 있다.
SIO2와 HF 의 반응은, 주로 H2O 를 포함하는 경우(1) 식과 포함하지 않는 경우(2) 식의 2개가 있고, H2O 를 포함하는 경우는 에칭반응이 빠르게된다.
SIO2 + 4HF + 2H2O
SIF4 + 4H2O ... (1)
SIO2 + 4HF
SIF4 + H2O ... (2)
상기 어느 반응에도 반응후에 H2O가 생성되지만 그것은 배기장치에 의하여 제지되어 문제가 없다.
따라서, 웨이퍼(20)의 에칭반응을 빠르게 하기 위하여 도시하지 않은 수증기를 포함시킨 N2를 캐리어가스로서 처리 용기(85)에 도입하여도 좋다.
또한, 에칭가스는 HF 에 한하지 않고 염산 (HCl), 질산 (HNO3) 등의 산성가스를 사용하여도 좋다.
자연산화막이 제거된 웨이퍼(20)는 건식에칭장치(80) 내의 도시하지 않은 로우드록실을 통하여 반송아암(60)에 의하여, 스토커(71)에 반송된다.
차례로 이 동작을 반복하여 스토커(71) 내의 복수의 웨이퍼(20)의 자연산화막을 완전히 제거한 후에 게이트밸브(51)를 닫는다.
다음에 배기관(40b)에 의하여 진공으로된 제 1 의 로우드록실(40)의 게이트 밸브(52)를 오픈으로 하여 스토커(71)에 수납된 복수매의 웨이퍼(20) 제 1 의 로우드록실(40) 내에 설치한 보우트(18)로 이송한다.
엘리베이터(26)에 의하여 보우트(18)의 위치를 바꾸면서 차례로 웨이퍼(20) 를 반송하고 소망매수의 웨이퍼를 반송한후 게이트 밸브 (52) 를 닫는다.
크세논램프(90)를 점등하고 엘리베이터(26)에 의하여 보우트(18)에 수납된 웨이퍼(20)를 램프(90)의 측면에 이송하고 웨이퍼(20)를 예비가열함으로써 웨이퍼 (20) 표면에 흡착하고 있는 불소등의 에칭잔류물과 수분이 제거된다.
다음에, 셔터(28)를 오픈으로 하고, 엘리베이터(26)에 의하여 웨이퍼(20) 수납된 보우트(18)를 프로세스 튜브(10)의 작열영역에 모우딩한다.
플랜지(24)에 의하여 프로세스 튜브(10)의 아래끝단 개구부가 밀폐되어 배기관(16)을 통하여 진공흡인을 행하고, 가스도입관(12)로 부터 소정의 프로세스가스, 예를들면 폴리 실리콘막의 경우에는 SIH4를 공급한다.
히이터(14)에 의하여 프로세스 튜브(10)는 소정의 프로세스 온도, 예를들면 폴리실리콘막의 경우, 500 내지 700℃ 로 설정하여 놓고, 프로세스 가스를 흘림으로써 웨이퍼(20) 상에 막형성이 행해진다.
실리콘지로하막을 막형성하는 경우에는 650 내지 850℃ 로 설정하고, SIH2C2와 NH3가스를 도입한다.
또한, 산화나 확산을 행하는 경우는 800 내지 1200℃ 로 설정하고, O2, N2그밖의 프로세스 가스를 흘린다.
프로세스 튜브(10) 내부에서의 막형성공정이 종료한후, 보우트 엘리베이터 (26) 의 구동에 의하여 보우트 (18) 의 언로우딩을 실시한다.
제 1 의 로우드록실에서 웨이퍼(20)는 소망의 온도 예를들면 50℃이하로 될때까지 대기한다.
물론, 강제냉각하여도 좋다.
예를들면 가스도입관(40)으로부터 비산화성의 불활성 가스 예를들면 N2를 흘려 웨이퍼(20)의 냉각 시간을 빠르게 한다.
이때의 압력은 수 Torr 정도로 효과가 있다.
제 2 의 로우드록실(42), 제 3 의 로우드록실(44) 도 N2로 퍼어지하여 제 1 의 로우드록실과 압력이 같게된후, 게이트 밸브(52),(54) 를 오픈한다.
보우트(18)에 수납된 웨이퍼(20)를 반송아암(60)에 의하여 차례로 스토커 (72)로 이송한다.
다음에 게이트 밸브(54) 를 닫음으로 한후, N2가스를 다시 퍼어지하여 제 3 의 로우드록실(44) 내의 압력이 대기압과 같게한후, 게이트 밸브(56) 를 오픈으로 한다.
스토커(72)에 수납된 웨이퍼(20)를 반송아암(62)에 의하여 차례로 카세트 (74) 내지 (78)로 이송하고 1 배치처리가 종료하는 것으로 된다.
이러한 일련의 프로세스에 의하여 웨이퍼(20) 상의 자연산화막을 건식에칭장치 (80)에서 제거한후, 웨이퍼(20)가 공기와 접촉하지 않고 연소하여 막생성을 행하는 것이 가능하다.
또한, 건식에칭후의 불소등의 잔류물을 막형성전에 램프(90)에 의하여 가열제거하는 것도 가능하다.
제1도에 나타낸 반송아암(60),(52) 에 탑재된 2개의 포오크(63),(64) 를, 막생성전에는 포오크(63)를 이용하고, 막생성후에는 포오크(64)를 이용함으로써 상호 오염을 피하도록 함이 바람직하다.
또한, 스토커(71)는 막생성전에 사용하고, 스토커(72) 막생성후에 사용하여 상호 오염을 피하도록 함이 바람직하다.
열처리후의 웨이퍼(20)를 엘리베이터(26)로 언로우드한때, 가열된 웨이퍼 (20)로 부터의 복사열로 제 1 의 로우드록실(40)이 가열되기 때문에, 배관(91)으로 냉각수를 흘려 냉각한다.
또한, 메인티넌스 등에서 제 1 의 로우드록실(40)을 대기에 해방한 경우, 로우드록실내로 공기중의 수분등이 부착하여 소망의 진공도를 단시간에 얻을수 없다.
그 때문에 배관(91)으로 온수를 흘려 로우드록실(40)을 베이킹하여도 좋다.
더우기 막형성시에 프로세스튜브(10), 석영보우트(18), 보온통(22) 등에 부착한 필요없는 프로세스 생성물을 제거하기 위하여, 프로세스 튜브(10)에 NF2등의 건식에칭용 가스를 공급하고, 종형열처리부의 클리닝을 행할수 있다.
또한, 웨이퍼의 낱장식의 에칭방법은 후에 술하는 플라즈마 에칭의 방법도 좋다.
[실시예 2]
본 발명의 다른 실시예로서 제4도에 나타낸 바와같은 연속처리장치가 있다.
제 2 의 로우드록실(42)과 제 3 의 로우드록실(44)의 사이에 제 4 의 로우드록실(43a),(43b)을 형성하고 처리장치(92),(93)를 연결시킨 것이다.
여기서 처리장치(92),(93)가 막형성장치라 하면 캐패시터의 O-N-O 막을 생성시킬수 있다.
또한 처리장치(92)를 막두께 측정기, 먼지 검사장치, 인농도측정기등으로 치환하여 인타인계측 모니터를 행하는 것도 가능하다.
[실시예 3]
제5도에 나타낸 바와같이, 제 3 의 로우드록실(44)을 2 개로 나누어 독립한 로우드록실(44a),(44b)로 함으로써, 한쪽의 로우드록실(44a)에 반송아암(62)에 의하여 웨이퍼(20)의 반입을 행하고 있을때, 다른록실(44b)은 반송아암(60)에 의하여 웨이퍼(20)의 반출을 행하고, 웨이퍼의 이동적재시간을 대폭으로 줄일수 있다.
또한 도시하지 않은 광학식 센서를 이용한 낱장식 오리엔테이션 플랫맞춤기구를 로우드록실(44a),(44b)에 내장시켜, 오리엔테이션 플랫이 정비된 상태에서 웨이퍼(20)를 처리용 보우트(18)에 수납하고, 소정의 열처리를 행함으로써 흩어짐이 적은 열처리가 가능해지고, 열처리후의 웨이퍼(20)를 카세트(74) 내지 (78)에 수납한 경우는, 카세트(74) 내지 (78)에 대하여 오리엔테이션플랫이 정비된 상태에서 수납할수 있다.
또한, 오리엔테이션 플랫 맞춤기구는 제 3 의 로우드록실(44) 이외에 형성하여도 좋고, 예를들면 제 2 의 로우드록실(42)내에서도 좋고, 또는 카세트(74) 내지 (78) 의 설치장소에 형성하여도 좋다.
로우드록실(44)에 웨이퍼(20)를 반입반출하는때, 로우드록실(44a)을 반입전용, 로우드록실(44b)을 반출전용으로 사용함으로써, 웨이퍼(20)에 대한 상호오염을 방지할수 있다.
[실시예 4]
제6도에 나타낸 바와같이 제 1 의 로우드록실(40')을 형성하고, 엘리베이터 (26')를 상하이동 및 엘리베이터축을 중심으로 하여 회전가능한 구성으로 하고, 누름기구(19)에 의하여 보우트(18)의 윗끝단을 누르고, 위치맞춤후 제 2 의 로우드록실 (42)로부터 웨이퍼(20)를 옮겨바꾸도록 한다.
제 1 의 로우드록실(40')의 좌측에서 웨이퍼(20)의 반입반출을 행한후, 엘리베이터(26')를 내리고, 엘리베이터를 회전하고 웨이퍼(20)를 프로세스튜브(10)의 아래쪽으로 이동한후, 프로셋스 튜브(10)에 웨이퍼를 반입한다.
이러한 구성으로 하고 있기 때문에, 프로세스튜브(10) 윗끝단으로부터 로우드록실(40') 아래끝단까지의 장치전체 높이를 낮출수 있다.
또한 보우트(18)의 위치정밀도를 높일수 있고, 웨이퍼(20)를 정확하게 옮겨바꿀수 있다.
또한, 본 발명은 반드시 CVD 등의 막형성처리장치에 적용하는 것에 한정되지 않고, 산화나 확산등의 처리장치에 대하여 적용한 경우에도, 다공성으로서 막의 질이 나쁜 여분의 자연산화막의 형성을 제거할수 있으므로, 반도체 소자의 수율의 향상을 도모할수 있다.
건식 에칭은 자연산화막을 제거하면 좋고, 플라즈마 에칭이 에슁기구여도 좋다.
이상 설명한 바와같이, 본 발명에 의하면 피처리체의 본래의 처리를 실시하는 종형 열처리부와 로우드록실을 통하여 연결된 건식에칭부에 처리가스를 공급하는 것으로, 피처리체에 형성되어 있는 자연산화막을 본래의 처리공정실시전에 제거할수 있다.
따라서, 폴리실리콘막의 막형성처리장치에서는 바탕실리콘부분과의 접촉저항을 내릴수 있고, 다른 처리장치에 적용한 경우에도 여분의 자연산화막을 제거할수 있음에 의하여 수율의 향상을 도모할수 있다.
[실시예 5]
다음에 종형 열처리로내에서의 자연산화막을 제거하는 장치 및 방법을 설명한다.
제7도는 웨이퍼를 종형산화로에 로우딩하는 도중에 에칭을 행하도록한 종형산화로의 개략 단면도이다.
이 프로세스 튜브(10)의 구성은 실시예 1 과 동일하지만, 가스 도입관(12)은 프로세스 튜브(10)의 상부앞끝단에 형성되고, 프로세스 가스가 도입된다.
그리고 본 실시예장치의 특징적 구성으로서, 상기 보우트(18)를 프로세서튜브 (10)에 로우딩하는 과정에서, 이 보우트(18)에 탑재되어 있는 각 웨이퍼(20)를 플라즈마 에칭하기 위한 장치가 형성되어 있다.
즉, 이 플라즈마 에칭장치는, 프로세스 튜브(10)로의 로우딩 도중의 영역근방에 배치된 플라즈마 생성용의 전극(30)과, 이 플라즈마 생성영역에 국소적으로 에칭가스를 도입하기 위한 에칭가스 도입관 (32)으로 구성된다.
이 전극(30)으로서는, 판형상전극을 대향시킨 용량결합형, 또는 코일형상 수전극에 의한 유도 결합형등을 병용할수 있다.
또한 본 실시예에서도 웨이퍼(20)로의 자연산화막의 생성을 적극절감하기 위하여, 제8도에 도시한 바와같은 로우드록 방식을 채용하고 있다.
즉, 본 실시예에 있어서는, 실시예 1 에 있어서와 같은 건식에칭실(80)은 없고, 제 1, 2, 3 의 로우드록실이 가로로 나란한 이외에는 실시예 1 과 동일한 구성으로 되어 있고, 동일부호는 동일부분 나타낸다.
제9도에 나타낸 바와같이, 제 1 의 로우드록실(40)의 내부에는 웨이퍼(20) 의 이동변환 동작을 행하기 위한 핸들러(70)가 배치된다.
이 핸들러(70)는, 1 장의 웨이퍼(20)를 얹어놓아 지지하기 위한 핀셋(61)을 가지고, 이 핀셋(61)을 상기 제 2 의 로우드록실(42) 내부에 설정되어 있는, 복수매의 웨이퍼를 탑재한 캐리어(58)로의 반입반출위치, 상기 제 1 의 로우드록실(40) 내부에 배치되어 있는 보우트(18)로의 반입반출위치 및 상기 제 3 의 로우드록실(44) 내부에 배치되어 있는 캐리어(59)로의 반입반출위치로 각각 이동가능하게 되어 있다.
또한 본 실시예의 핸들러(70)는 상하 운동기구를 가지지 않으나, 볼나사(25) 에 나사맞춤하여 상하운동하는 상기 보우트 엘리베이터(26)의 이동에 의하여, 보우트 (18)에 대한 상하방향의 위치를 가변할수 있고, 한편, 상기 캐리어(58),(59)는 도시하지 않은 상하 운동기구에 의하여 그 상하 방향의 위치를 가변하도록 하고 있다.
다음에, 상기 핀셋(61)은 지지점(65),(65) 에 한끝단을 회전이 자유롭게 지지한 2 개의 링크(66),(66)을 가지고 있다.
한편, 제9도의 화살표 방향으로 회전가능한 기본대(76)에는, 지지점(67),(67) 을 중심으로 회전이 자유로운 링크(68),(68)가 형성되어 있다.
그리고 이 1 쌍의 링크(66),(66) 의 연결부를 지지점(67)으로 함으로써, 소위 프래그레그방식에 의하여 신축이 자유로운 기구를 실현하고 있다.
그리고, 이 링크(66),(68)에 의한 신축동작에 의하여, 상술한 각 반입반출위치에 대하여 핀셋(61)을 이동가능하도록하고 있다.
이러한 장치를 가지고, 구체적으로는 다음과 같이 웨이터의 처리를 행한다.
먼저, 게이트 밸브(46)를 닫음으로 하고, 게이트 밸브(50)를 열림으로 한 상태에서 제 2 의 로우드록실(42) 내부에 캐리어를 반입한다.
그후, 게이트 밸브(50) 를 닫음으로 하고, 배기관(42a)으로부터 퍼어지가스를 도입하고, 제 2 의 로우드록실(42) 내부를 퍼어지한다.
이 퍼어지 가스로서는, 불활성가스로서의 N2또는 Ar 혹은 수소가스 H2를 들수 있다.
특히, 수소가스 H2로 퍼어지한 경우에는, 이 수소가스 H2의 순도를 매우높일수 있으므로 산소를 배출하는 데에 효과적이며, 또한 임시로 반응한 것으로 하여도 환원작용을 나타내므로, 웨이퍼(20) 의 산화를 방지할수 있다.
다음에 제 1 의 로우드록실(40) 내부를 진공으로 하고, 또한 퍼어지한 후에 상술한 핸들러등의 기구에 의하여 웨이퍼(20)를 보우트(18)로 이동한다.
그리고 보우트(18)의 프로세스 튜브(10)에 대한 로우딩 도중에서, 국소적인 플라즈마 에칭을 실시함으로써, 웨이퍼(20)에 형성된 자연산화막을 에칭하도록 하고 있다.
즉, 에칭가스 도입관(32) (제7도)을 통하여, 프로세스 튜브(10)의 아래끝단 개구부 부근에 국소적으로 에칭가스를 도입하고, 또한 전극(30)에 의하여 상기 에칭가스에 고전계를 겉음으로써 플라즈마를 발생시킨다.
이것에 의하면, 웨이퍼(20)에 형성되어 있는 자연산화막의 플라즈마에칭, 특히 래디컬에 의한 화학적 에칭이 실시된다.
이와같이 하여, 프로세스 튜브(10) 내부에서의 본래의 게이트 산화막의 치밀한 막두께제어를 실현할수 있게된다.
이후의 프로세스 공정은 상기 다른 실시예와 동일한 방법으로 행한다.
또한, 로우드록 방식을 채용한 경우에는, 웨이퍼(20)가 프로세스 튜브(10)에 로우딩된 때에 산화된 가능성이 작고, 이 때문에 자연산화막의 막두께도 얇게 되어 있다.
따라서, 상기의 플라즈마 에칭으로서는, 웨이퍼(20)를 Si 기판으로 한 경우, SiO2, Si 의 선택비가 큰것이 바람직하다.
[실시예 6]
실시예4와 동일한 웨이퍼의 국소적 에칭을 하기 위하여는, 실시예4의 방법에 한하지 않는다.
예를들면 제10도에 나타낸 바와같이 구성할 수도 있다.
동도면에 나타낸 것은, 프로세스 튜브(10) 외부에 래디컬 발생기(94)를 배치한 것이다.
이 래티컬발생기(94)는, 에칭가스 도입관(95)을 통하여 에칭가스 NF3또는 H2를 도입하고, 예를들면 13.56 MHz 의 교류전압을 인가함으로써, 래디컬 예를들면 불소래디컬, 수소래디컬등을 생성하고 있다.
그리고 이 래디컬 발생기(94) 내부에서 발생한 래디컬을 프로세스 튜브(10) 내로의 웨이퍼(20)의 로우딩 도중에서, 래디컬 발생기와 접속한 래디컬 도입관(96)을 통하여 공급하도록 하고 있다.
이 래디컬은 화학적으로 매우 활성이기 때문에, 웨이퍼 (20) 상에 형성된 자연산화막을 제거하는 것이 가능하게 된다.
[실시예 7]
실시예4와 같이, 본래의 처리를 행해야 하는 프로세스튜브(10)를 산화막의 제거처리용기로서 겸용하는 경우를 제11, 12도에서 나타낸다.
실시예5 및 6과 같이 국소적에칭장치를 형성않고도 웨이퍼의 로우딩 시에, 히이터(14)를 가열하고, 프로세스 튜브(10)를 에칭온도 600℃, 950℃ 로 설정하고, 프로세스튜브(10)의 앞끝단 가스도입관(12)으로부터 에칭가스 NF3또는 HCl 등을 도입하여 웨이퍼의 자연산화막을 제거하여도 좋다(제12도).
또한, 제11도에 나타낸 바와같이, 상기 에칭을 플라즈마로 행하기 위하여 프로세스튜브(10)의 주위에는 플라즈마 발생용의 전극(30)을 형성하여도 종형처리로를 웨이퍼의 자연산화막 제거에 응용가능하다.
본 발명을 실시할때에, 상기 실시예와 같이 본래의 처리를 행해야하는 프로세스튜브 (10) 를 산화막의 제거처리용기로서 겸용하는 경우에는, 반드시 상기 실시예와 같은 로우드록 방식을 채용하는 것에 한하지 않는다.
그러나, 웨이퍼(20)에 형성되는 자연산화막을 적극 억제하기 위하여서는, 상기와 같은 로우드록방식을 병행하여 채용하는 것이 바람직하다.
이때, 실치면적등의 요청에 의하여 제11도에 나타낸 바와같은 방식을 채용할수도 있다.
동도면에 의하면, 제 3 의 로우드록실(44)을 형성하지 않고, 제 2 의 로우드록실 (42)을, 제 1 의, 로우드록실(40)에 대한 웨이퍼(20)의 반입반출용의 예비실로서 겸용한 것이다.
이와같이 한 경우, 반입반출경로가 동일하기 때문에 수율의 저하는 없지 않으나, 산소를 차단한 분위기에서 웨이퍼의 반입반출동작을 실현할수 있기 때문에, 자연산화막의 생성을 적극 억제할수 있다.
또한, 이 발명은 반드시 산화막의 막형성 처리장치에 적용하는 것에 한하지 않고, 다른 막형성장치 또는 막형성이외의 처리장치에 대하여 적용한 경우에도, 여분의 자연산화막의 형성을 제거할수 있으므로 수율의 향상을 도모할수 있게 된다.
또한, 본 발명을 실시함에 있어, 사용되는 종형처리용기의 수, 자연산화막의 제거장치로서 무엇을 쓰거나 그들과 로우드록 방식과의 조합 등에 대하여는 여러가지의 사용방식, 변형이 가능하다.
이상 설명한 바와같이, 본 발명에 의하면 피처리체의 본래의 처리를 실시하기 전에, 피처리체에 형성되어 있는 자연산화막을 제거할수 있다.
따라서, 이후의 처리에 있어 바람직한 결과가 없어지고, 제품수율이 향상될수 있다.

Claims (11)

  1. 보우트내에 수납된 다수개의 웨이퍼를 열처리하여 막을 형성하고, 독립적으로 도입 및 배기되는 처리가스가 공급되는 프로세스 튜브와; 상기 각각의 웨이퍼상의 자연산화막을 제거하기 위하여 웨이퍼를 건식에칭하며, 독립적으로 도입 및 배기되는 에칭가스가 공급되는 건식 에칭부와, 셔터를 통하여 상기 프로세스 튜브의 최하부에 접속되며, 독립적으로 도입 및 배기되는 불활성 가스가 공급되는 제 1 의 로우드록실과; 제 1 게이트 밸브를 통하여 상기 제 1 의 로우드록실에 또한 제 2 게이트 밸브를 통하여 상기 건식 에칭부에 접속되며, 독립적으로 도입 및 배기되는 불활성가스가 공급되는 제 2 의 로우드록실과; 상기 제 1 의 로우드록실내에 마련되며, 상기 프로세스 튜브의 안팎으로 상기 보우트를 반송하기 위한 보우트 얹어놓는대를 가지는 승강수단; 및 상기 보우트 얹어놓는대상에 탑재된 상기 보우트의 안팎으로 웨이퍼를 반송하기 위하여 상기 제 2 의 로우드록실내에 마련된 반송수단을 포함하여 구성되는 종형 열처리장치.
  2. 제1항에 있어서, 상기 건식 에칭부는, 한번에 하나의 웨이퍼를 담도록 형성되며, 하나의 웨이퍼를 탑재하고 에칭처리동안 회전가능한 회전부를 포함하는 종형 열처리장치.
  3. 제2항에 있어서, 상기 에칭가스는 HF가스이며, HF가스를 공급하는 수단이 상기 건식 에칭부에 접속되는 종형 열처리장치.
  4. 제3항에 있어서, 상기 불활성가스가 N2가스인 종형 열처리장치.
  5. 제1항에 있어서, 열처리용의 또 다른 용기가 또 다른 로우드록실을 경유하여 상기 제 2 의 로우드록실에 기밀하게 접속되며, 상기 또 다른 로우드록실은 또 다른 게이트를 경유하여 상기 제 2 의 로우드록실로 접속되는 종형 열처리장치.
  6. 제1항에 있어서, 상기 건식 에칭부내에서 처리된 웨이퍼를 가열하기 위하여 웨이퍼의 반송로 및 상기 프로세스 튜브 및 건식 에칭부의 사이에 할로겐 램프 또는 크세논 램프가 구비되는 종형 열처리장치.
  7. 제1항에 있어서, 상기 반송수단은 상기 보우트에 웨이퍼를 주고받기 위한 2개의 반송아암을 가지며, 상기 반송아암들은 열처리의 전후에 구분하여 사용되도록 한 종형 열처리장치.
  8. 제1항에 있어서, 상기 승강수단에 탑재된 보우트는 상기 프로세스 튜브 아래쪽의 제 1 위치와 상기 제 2 의 로우드록실에 인접한 제 2 위치의 사이에서 상기 승강수단의 축주위로 회전가능한 종형 열처리장치.
  9. 제1항에 있어서, 상기 반송수단은 웨이퍼를 상기 건식 에칭부에 주고 받도록 배치되는 종형 열처리장치.
  10. 프로세스 튜브내에 웨이퍼를 회전시켜 감압하에서 처리하는 처리방법에 있어서, 상기 프로세스 튜브내에서는 상기 웨이퍼를 소정의 성막 처리온도로 가열한 상태에서 막형성가스를 공급하여 상기 웨이퍼상에 막형성처리를 행하는 성막공정과, 상기 성막공정의 전에 상기 웨이퍼에 형성된 자연산화막을 플라즈마를 사용하지 않는 건식에칭에 의해 제거하는 자연산화막 제거공정과, 상기 건식에칭은 상기 웨이퍼를 상기 성막 처리온도보다 낮은 온도로 가열한 상태에서 클리닝용 에칭가스를 공급하고 이 에칭가스의 화학반응에 의해 상기 자연산화막을 제거하는 것을 특징으로 하는 처리방법.
  11. 제10항에 있어서, 상기 에칭가스가 환원가스인 처리방법.
KR1019900011345A 1989-07-25 1990-07-25 종형 처리 장치 및 처리방법 KR0155158B1 (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
JP192173 1989-07-25
JP1-192172 1989-07-25
JP1-192174 1989-07-25
JP1192172A JP2909481B2 (ja) 1989-07-25 1989-07-25 縦型処理装置における被処理体の処理方法
JP192174 1989-07-25
JP192172 1989-07-25
JP1-192173 1989-07-25
JP1192174A JP2744934B2 (ja) 1989-07-25 1989-07-25 縦型処理装置
JP1192173A JP2744933B2 (ja) 1989-07-25 1989-07-25 縦型処理装置及び処理装置

Publications (2)

Publication Number Publication Date
KR910003758A KR910003758A (ko) 1991-02-28
KR0155158B1 true KR0155158B1 (ko) 1998-12-01

Family

ID=27326572

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019900011345A KR0155158B1 (ko) 1989-07-25 1990-07-25 종형 처리 장치 및 처리방법

Country Status (2)

Country Link
US (1) US5217501A (ko)
KR (1) KR0155158B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200112703A (ko) * 2019-03-22 2020-10-05 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법, 그리고 기판 처리 프로그램

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100230697B1 (ko) * 1992-02-18 1999-11-15 이노우에 쥰이치 감압 처리 장치
NL9200446A (nl) * 1992-03-10 1993-10-01 Tempress B V Inrichting voor het behandelen van microschakeling-schijven (wafers).
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5482607A (en) * 1992-09-21 1996-01-09 Nissin Electric Co., Ltd. Film forming apparatus
JP3186262B2 (ja) * 1992-10-14 2001-07-11 ソニー株式会社 半導体装置の製造方法
US5427625A (en) * 1992-12-18 1995-06-27 Tokyo Electron Kabushiki Kaisha Method for cleaning heat treatment processing apparatus
US5527390A (en) * 1993-03-19 1996-06-18 Tokyo Electron Kabushiki Treatment system including a plurality of treatment apparatus
DE4340522A1 (de) * 1993-11-29 1995-06-01 Leybold Ag Vorrichtung und Verfahren zum schrittweisen und automatischen Be- und Entladen einer Beschichtungsanlage
JP3196917B2 (ja) * 1994-06-17 2001-08-06 大日本スクリーン製造株式会社 基板処理装置
TW295677B (ko) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6030459A (en) * 1996-05-17 2000-02-29 C.V. Research Corporation Low-pressure processing device
US5820366A (en) * 1996-07-10 1998-10-13 Eaton Corporation Dual vertical thermal processing furnace
JPH10340909A (ja) * 1997-06-06 1998-12-22 Hitachi Ltd 半導体集積回路装置の製造方法
JPH11186257A (ja) * 1997-12-24 1999-07-09 Asahi Kasei Micro Syst Co Ltd 半導体装置の製造方法
JP3330554B2 (ja) * 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
DE19921072A1 (de) * 1999-05-08 2000-11-09 Acr Automation In Cleanroom Einrichtung zum Handhaben von Substraten innerhalb und außerhalb eines Reinstarbeitsraumes
US6244811B1 (en) * 1999-06-29 2001-06-12 Lam Research Corporation Atmospheric wafer transfer module with nest for wafer transport robot
JP2001085346A (ja) * 1999-09-17 2001-03-30 Nec Kyushu Ltd 半導体装置の製造装置及び半導体装置の製造方法
US6364762B1 (en) 1999-09-30 2002-04-02 Lam Research Corporation Wafer atmospheric transport module having a controlled mini-environment
US6488778B1 (en) * 2000-03-16 2002-12-03 International Business Machines Corporation Apparatus and method for controlling wafer environment between thermal clean and thermal processing
US6610968B1 (en) * 2000-09-27 2003-08-26 Axcelis Technologies System and method for controlling movement of a workpiece in a thermal processing system
US7111629B2 (en) * 2001-01-08 2006-09-26 Apl Co., Ltd. Method for cleaning substrate surface
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
WO2002095800A2 (en) * 2001-05-22 2002-11-28 Reflectivity, Inc. A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US20030070608A1 (en) * 2001-10-12 2003-04-17 Buschbeck Hans Martin Method for producing components and ultrahigh vacuum CVD reactor
US6778258B2 (en) * 2001-10-19 2004-08-17 Asml Holding N.V. Wafer handling system for use in lithography patterning
EP1446828A2 (en) * 2001-11-13 2004-08-18 Fsi International, Inc. Reduced footprint tool for automated processing of substrates
KR100443121B1 (ko) * 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US7028698B2 (en) * 2001-12-28 2006-04-18 Brian Nils Hansen Pressure processing apparatus with improved heating and closure system
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6767590B1 (en) * 2002-12-18 2004-07-27 Ronald M. Kubacki Poled plasma deposition
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
SG115629A1 (en) 2003-03-11 2005-10-28 Asml Netherlands Bv Method and apparatus for maintaining a machine part
TWI290901B (en) * 2003-06-23 2007-12-11 Au Optronics Corp Warehousing conveyor system
US7246985B2 (en) * 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
US7408615B2 (en) * 2004-06-21 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7349067B2 (en) * 2004-06-21 2008-03-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
NL1027903C2 (nl) * 2004-12-28 2006-06-29 Tempress Systems Verticale oven voor het bewerken onder hoge temperatuur van uit halfgeleider bestaande materialen.
US7604449B1 (en) * 2005-06-27 2009-10-20 Kla-Tencor Technologies Corporation Equipment front end module
TW200715448A (en) * 2005-07-25 2007-04-16 Canon Anelva Corp Vacuum processing apparatus, semiconductor device manufacturing method and semiconductor device manufacturing system
KR100706790B1 (ko) * 2005-12-01 2007-04-12 삼성전자주식회사 산화 처리 장치 및 방법
JP5280861B2 (ja) * 2006-01-19 2013-09-04 エーエスエム アメリカ インコーポレイテッド 高温aldインレットマニホールド
US7418982B2 (en) * 2006-05-17 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier and facility interface and apparatus including same
DE202006007937U1 (de) * 2006-05-18 2007-09-20 Strämke, Siegfried, Dr.-Ing. Plasmabehandlungsanlage
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7946759B2 (en) * 2007-02-16 2011-05-24 Applied Materials, Inc. Substrate temperature measurement by infrared transmission
KR100851391B1 (ko) * 2007-04-27 2008-08-08 세메스 주식회사 탄소나노튜브 합성장치 및 방법
KR100862895B1 (ko) * 2007-08-21 2008-10-13 세메스 주식회사 탄소나노튜브 합성 방법, 이를 적용한 탄소나노튜브 합성장치 및 시스템
JP2009266962A (ja) * 2008-04-23 2009-11-12 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US20090316749A1 (en) * 2008-06-23 2009-12-24 Matthew Fenton Davis Substrate temperature measurement by infrared transmission in an etch process
WO2010009048A2 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Tube diffuser for load lock chamber
US20100112191A1 (en) * 2008-10-30 2010-05-06 Micron Technology, Inc. Systems and associated methods for depositing materials
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
JP6114698B2 (ja) 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
KR101215511B1 (ko) * 2012-06-27 2012-12-26 (주)이노시티 프로세스 챔버 및 기판 처리 장치
US9798317B2 (en) * 2013-07-03 2017-10-24 Tokyo Electron Limited Substrate processing method and control apparatus
US20170025291A1 (en) * 2015-07-22 2017-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-chamber furnace for batch processing
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
WO2018150536A1 (ja) * 2017-02-17 2018-08-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US20200354828A1 (en) * 2019-05-10 2020-11-12 Veeco Instruments Inc. Deposition system with integrated carrier cleaning modules
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3466135D1 (en) * 1984-10-16 1987-10-15 Ibm Vacuum transfer device
US4915777A (en) * 1987-07-16 1990-04-10 Texas Instruments Incorporated Method for etching tungsten
KR970008320B1 (ko) * 1987-11-17 1997-05-23 도오교오 에레구토론 가부시끼가이샤 열처리 장치
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US5067218A (en) * 1990-05-21 1991-11-26 Motorola, Inc. Vacuum wafer transport and processing system and method using a plurality of wafer transport arms

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200112703A (ko) * 2019-03-22 2020-10-05 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법, 그리고 기판 처리 프로그램
US11538716B2 (en) 2019-03-22 2022-12-27 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium

Also Published As

Publication number Publication date
US5217501A (en) 1993-06-08
KR910003758A (ko) 1991-02-28

Similar Documents

Publication Publication Date Title
KR0155158B1 (ko) 종형 처리 장치 및 처리방법
US7223702B2 (en) Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices
JP3954833B2 (ja) バッチ式真空処理装置
JP5495847B2 (ja) 半導体装置の製造方法、基板処理装置および基板処理方法
JPH04504929A (ja) 基板上の層の蒸着方法及びこのための処理用システム
US8123858B2 (en) Manufacturing method of semiconductor device and substrate processing apparatus
US8367560B2 (en) Semiconductor device manufacturing method
TWI579947B (zh) 處理基板的設備
EP0935281A1 (en) Method and device for treating semiconductor with treating gas while substrate is heated
JP2759368B2 (ja) 縦型熱処理装置
US20020182870A1 (en) Substrate processing apparatus and a method for fabricating a semiconductor device by using same
TWI469216B (zh) 半導體裝置之製造方法及基板處理裝置
JP2909481B2 (ja) 縦型処理装置における被処理体の処理方法
WO2001061736A1 (fr) Procede de traitement d'une plaquette
JP4553227B2 (ja) 熱処理方法
JP4876337B2 (ja) 処理システム
JP2744933B2 (ja) 縦型処理装置及び処理装置
JP2001118839A (ja) 半導体用熱処理装置および半導体基板の熱処理方法
JPH07183222A (ja) 熱処理装置及び熱処理方法
JP2744934B2 (ja) 縦型処理装置
JPH04188721A (ja) 縦型熱処理装置
JPH02226721A (ja) 処理方法
JP7175151B2 (ja) 搬送方法
JP3173698B2 (ja) 熱処理方法及びその装置
JPH05226455A (ja) 処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080701

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee