NL9200446A - Inrichting voor het behandelen van microschakeling-schijven (wafers). - Google Patents

Inrichting voor het behandelen van microschakeling-schijven (wafers). Download PDF

Info

Publication number
NL9200446A
NL9200446A NL9200446A NL9200446A NL9200446A NL 9200446 A NL9200446 A NL 9200446A NL 9200446 A NL9200446 A NL 9200446A NL 9200446 A NL9200446 A NL 9200446A NL 9200446 A NL9200446 A NL 9200446A
Authority
NL
Netherlands
Prior art keywords
station
treatment
gas
carousel
disc
Prior art date
Application number
NL9200446A
Other languages
English (en)
Original Assignee
Tempress B V
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tempress B V filed Critical Tempress B V
Priority to NL9200446A priority Critical patent/NL9200446A/nl
Priority to TW082101585A priority patent/TW228601B/zh
Priority to DE69322671T priority patent/DE69322671T2/de
Priority to AT93200622T priority patent/ATE175052T1/de
Priority to SG1996007891A priority patent/SG49224A1/en
Priority to EP93200622A priority patent/EP0560439B1/en
Priority to US08/027,687 priority patent/US5407449A/en
Priority to KR1019930003544A priority patent/KR100278154B1/ko
Priority to JP07625793A priority patent/JP3408278B2/ja
Publication of NL9200446A publication Critical patent/NL9200446A/nl

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Description

INRICHTING VOOR HET BEHANDELEN VAN MICROSCHAKELING-SCHIJVEN (WAFERS)
De uitvinding heeft betrekking op een inrichting voor het behandelen van microschakeling-schijven (wafers), omvattende een invoerstation voor cassettes met schijven, ten minste één behandelstation waarin schij fdragers en daarmee samenwerkende behandelingsmiddelen zijn opgesteld en een overdrachtstation voorzien van overdrachtmiddelen voor het uit de cassettes uitnemen en in de schijfdragers plaatsen van schijven en na behandeling uit de schijfdragers uitnemen en weer terug in de cassettes plaatsen van de schijven.
Een dergelijke inrichting is bekend en wordt bijvoorbeeld toegepast voor het uitvoeren van diffusieprocessen en LPCVD-processen op siliciumschijven bij de produktie van geïntegreerde schakelingen. De bekende inrichtingen van deze soort worden voor een specifieke behandeling of groep behandelingen opgebouwd en bevatten daartoe alle benodigde stations en hulpmiddelen.
De uitvinding beoogt een inrichting van de onderhavige soort te verschaffen, die efficiënt en daardoor economisch vervaardigd kan worden. Dit doel wordt bij een inrichting volgens de uitvinding bereikt met de maatregelen zoals weergegeven in conclusie 1. Hierdoor kan de inrichting in een specifieke samenstelling opgebouwd worden door aan elkaar te schakelen eenheden. Aan een aantal zijden van elke eenheid kan een bepaald station aansluiten. Aldus is het mogelijk om bijvoorbeeld één invoerstation samen te voegen met een aantal behandelstations, zodat achtereenvolgens een aantal verschillende behandelingen in de inrichting uitgevoerd kan worden. Doordat de behandelstations afzonderlijke eenheden zijn, kan elke willekeurige samenstelling van behandelstations plaatsvinden.
Een verdere gunstige ontwikkeling is gekenmerkt in conclusie 2. Hierdoor kan de atmosfeer binnen elke schakel-bare eenheid nauwkeurig worden beheerst en aan de specifieke behoefte worden aangepast.
Met de voorkeursuitvoeringsvorm van conclusie 3 wordt een zeer efficiënte mogelijkheid van samenvoeging van eenheden verkregen.
Verdere gunstige kenmerken en maatregelen van de uitvinding blijken uit de volgende beschrijving aan de hand van enige in de figuren weergegeven uitvoeringsvoorbeelden.
Figuur 1 toont een schematische horizontale dwarsdoorsnede van een inrichting volgens een eerste uitvoeringsvorm van de uitvinding.
Figuur 2 is een gedeeltelijk weggebroken en schematisch perspectivisch aanzicht van een inrichting volgens een andere uitvoeringsvorm van de uitvinding.
Figuur 3 is een gedeeltelijk weggebroken perspectivisch aanzicht van een invoerstation volgens de uitvinding.
Figuur 4 is een gedeeltelijk weggebroken perspectivisch aanzicht van een behandelstation volgens de uitvinding.
De in figuur 1 getoonde inrichting 1 is bestemd voor het behandelen van microschakeling-schijven, die gewoonlijk met de Engelse term wafers worden aangeduid. Deze schijven worden aan een aantal behandelingsstappen onderworpen teneinde op het oppervlak daarvan een aantal geïntegreerde schakelingen te vormen die naderhand uit de schijf worden losgesneden en· verder worden verwerkt.
Met de inrichting 1 kunnen aan dergelijke schijven drie verschillende, eventueel opeenvolgende behandelingen worden uitgevoerd.
Het is gebruikelijk om de schijven te transporteren in cassettes, waarvan er in figuur 1 een aantal 9 in bovenaanzicht in het invoerstation 2 is getoond. Het invoerstation 2 omvat een carrousel 4 waarin bijvoorbeeld op drie niveaus elk zes van dergelijke cassettes geplaatst kunnen worden, zodat deze carrousel 4 totaal achttien cassettes kan bevatten.
De invoereenheid 2 sluit bijvoorbeeld met een wand 3 aan op een schone kamer waarin een atmosfeer met een zeer laag stofgehalte in stand gehouden wordt. Via een ingang 5 kunnen de cassettes 9 in de carrousel 4 worden geplaatst. De ingang 5 kan verbonden zijn met een speciale, hier niet getoonde invoereenheid die een sluis omvat en aldus ervoor zorgt dat met het inbrengen van de cassettes geen ongewenste gassen, in het bijzonder zuurstof in het inwendige van de inrichting 1 terechtkomt.
Zoals de figuur duidelijk laat zien, heeft de eenheid 2 een in dwarsdoorsnede ten minste gedeeltelijk regelmatig veelhoekige omtrek. Dat wil zeggen, de in figuur 1 getoonde rechter drie wanden maken in dwarsdoorsnede deel uit van een regelmatige achthoek. Ook de nog nader te beschrijven behandelstations 10, 11 en 12 hebben een in dwarsdoorsnede ten minste gedeeltelijk regelmatig veelhoekige omtrek. De eenheden 2, 10, 11 en 12 zijn uitgevoerd als afzonderlijke eenheden die ter plaatse van de zijden, zoals zijde 7 van de regelmatige veelhoekige omtrek aansluiten op andere eenheden.
Bij de inrichting 1 wordt de centrale eenheid gevormd door de overdrachtseenheid 8 waarin een nog nader te beschrijven roboteenheid is aangebracht. Deze roboteenheid 8 kan schijven 13 uit cassettes 9 uitnemen en plaatsen in schijfdragers in de behandelstations 10, 11, 12. Na de behandeling neemt de robot 8 de behandelde schijven wederom uit de schijfdrager van het behandelstation en plaatst deze in een volgend behandelstation of in een door middel van de carrousel 4 voorgedraaide lege cassette 9. De cassettes 9 met behandelde schijven 13 kunnen uit het invoerstation 2 worden verwijderd via de uitgang 6, die op dezelfde wijze als de ingang 5 een afzonderlijk uitgangsstation kan omvatten met bijvoorbeeld een sluis.
Zoals nog meer in detail aan de hand van figuur 4 zal worden beschreven, is elk van de in figuur 1 getoonde behandelstations 10, 11, 12 volgens een voorkeursuitvoeringsvorm van de uitvinding voorzien van een carrousel 14 waarin drie compartimenten 15 zijn bepaald. Elk van deze compartimenten is voorzien van een schijfdrager 16. De carrousel 14 kan in drie rotatiestanden worden bewogen en gearreteerd. In elk van deze standen is één van de schijf-dragers voor de robot in het overdrachtstation 8 toegankelijk. Na het laden van een schijfdrager 16 in één van de drie compartimenten 15 wordt de carrousel 14 over eenderde slag in de richting van de klok gedraaid. De juist gevulde schijfdrager wordt daarbij boven een hef inrichting 17 gepositioneerd en onder een oven. Door middel van de hefinrichting 17 wordt de gevulde schijfdrager tot in de oven opgeheven waar een warmtebehandeling van de schijven plaatsvindt. Wanneer de behandeling is voltooid, wordt de schijfdrager weer naar beneden bewogen tot in de carrousel 14, die daarop weer eenderde slag wordt door gedraaid. Ondertussen is de volgende schijfdrager 16 opnieuw gevuld en kan deze in de oven worden geschoven met behulp van de hef inrichting 17. De juist behandelde schijven kunnen enige tijd afkoelen. Nadat opnieuw een behandelingscyclus is beëindigd, wordt de carrousel 14 wederom eenderde slag doorgedraaid en is de schijfdrager 16 met behandelde en weer afgekoelde schijven terug in de uitgangspositie grenzend aan het overdrachtstation 8. De behandelde schijven worden vervolgens uit de schijfdrager genomen en bijvoorbeeld in de voorstaande schijfdrager van het behandelstation 11 gestoken of in de voorstaande cassettes 9. De werking voor de behandelstations 11 en 12 is in dit geval identiek.
Zoals duidelijk uit figuur 1 blijkt, zijn de eenheden 10, 11 en 12 in hoofdzaak identiek. De totale eenheid l is dus samengesteld uit een aantal kleinere eenheden die afzonderlijk vervaardigd kunnen worden.
Voor andere toepassingen kan het invoerstation 2 gecombineerd worden met twee of slechts één van de behandel-eenheden 10, 11 of 12. In plaats van de behandeleenheden 10, 11, 12 kunnen uiteraard ook andere behandelstations worden toegepast. Door de uitvoering als afzonderlijke schakelbare eenheden met een in dwarsdoorsnede ten minste gedeeltelijk regelmatig veelhoekige omtrek waarbij de eenheden ter plaatse van de zijde van de regelmatig veelhoekige omtrek aan sluit op andere eenheden, is een grote mate van vrijheid van opbouw van een inrichting overeenkomstig de gewenste behandelingen, mogelijk.
Zoals figuur 1 Verder toont is de overdrachteenheid 8 uitgevoerd met een vierkante omtrek en hebben de invoeren behandel stations 2, 10, ll en 12 elk gedeeltelijk een regelmatige achthoekige omtrek met hoeken van 135* en met een zijde met gelijke lengte als die van het overdrachtsta-tion 8. Hierdoor kunnen vier eenheden in combinatie met één overdrachteenheid 8 worden geschakeld.
Door de opbouw volgens de uitvinding is echter ook een andere samenstelling mogelijk. Figuur 2 toont bijvoorbeeld een inrichting bestaande uit één invoereenheid 21, overeenkomend met die welke in doorsnede in figuur 2 is getoond en twee behandeleenheden 23, 32 die elk overeen kunnen komen met de behandeleenheden 10, 11 en 12. Ook hier is een overdrachtstation 22 aangebracht. Deze overdrachteenheid omvat, zoals eerder beschreven, een robot 25 die de schijven 13 uit de cassettes 9 kan uitnemen en in de schijf-drager 27 van de behandelstations en omgekeerd kan verplaatsen. De robot 25 kan een op zichzelf robot zijn en is gemonteerd op een hefinrichting zodat de werkende arm hiervan het hoogteverschil tussen de onderste schijf in de onderste cassette en de bovenste schijf in de bovenste cassette 9 kan overbruggen. Het is overigens gebruikelijk dat de verplaatsing van de schijven achtereenvolgens van de onderste naar de bovenste positie geschiedt, dat wil zeggen, de schijven 13 worden uit de cassettes 9 of schij fdragers 27 uitgenomen beginnend met de onderste en doorlopend naar de bovenste, terwijl deze weer teruggeplaatst worden in de schijfdrager of de cassettes, beginnend met de bovenste en zo doorgaand naar beneden. Hierdoor wordt voorkomen dat eventuele stofdeeltjes op de onderliggende schijven terechtkomen.
Zoals figuur 2 duidelijk laat zien heeft elke schakelbare eenheid bij deze uitvoeringsvorm een gesloten kast met afsluitbare doorvoeropeningen in ten minste één van de zijden in het gedeelte met de regelmatig veelhoekige omtrek. De behandelstations 23, 32 hebben bij deze uitvoe- ring elk één doorvoeropening 26. Het invoerstation 21 is voorzien van drie doorvoeropeningen 24. De doorvoeropeningen kunnen geopend en gesloten worden met behulp van een schuif 29 die opgenomen is in geleidingen 30 en omhoog en omlaag bewogen kan worden door middel van een pneumatische cilinder 31. In de geleiding 30 is bij voorkeur een opblaasbare afdichting opgenomen. In de gesloten toestand van de schuif 29 is deze afdichting opgeblazen en wordt aldus een goed aanliggend afsluitend contact verkregen. Wanneer de schuif 29 verplaatst moet worden, wordt de opblaasbare afdichting drukloos gemaakt, zodat deze zich van de schuif 29 verwijdert. Bij het openen van de schuif 29 treedt hierdoor geen of slechts een minimaal schuivend contact op, zodat de kans op het vormen van losse stofdeeltjes die in de omgeving van een inrichting volgens de uitvinding bijzonder ongewenst zijn, zeer klein is.
In figuur 2 is nog schematisch de in de carrousel 28 opgenomen schijfdrager 27 aangeduid.
Figuur 3 toont een andere uitvoeringsvorm van een schakelbare eenheid voor een inrichting volgens de uitvinding. Deze eenheid 35 heeft in dwarsdoorsnede een geheel regelmatige achthoekige vorm met gelijke zijden. De eenheid 35 is voorzien van vier doorvoeropeningen 38, overeenkomend met de doorvoeropeningen 24 van de eenheid 21 zoals getoond in figuur 2. De eenheid 35 zou zelfs voorzien kunnen zijn van acht doorvoeropeningen, zodat deze aan alle zijden met samenwerkende eenheden kan worden gekoppeld. Hierdoor wordt een maximale flexibiliteit bereikt in de samenstelling van eenheden tot een gewenste inrichting volgens de uitvinding.
De eenheid 35 omvat eveneens een carrousel 36 waarin op drie niveaus elk acht cassettes 9 voor schijven 13 geplaatst kunnen worden. De carrousel 36 kan in rotatie aangedreven worden en in verschillende rotatiestanden worden vastgezet met behulp van een aandrijfinrichting 37 die in een afgesloten compartiment van de inrichting 35 is ondergebracht .
De eenheid 35 is voorzien van een eigen gascircula-tie-inrichting. Deze omvat een centrale gastoevoer via de as 40 van de carrousel, welke leidt naar de binnenruimte van een centraal opgesteld cilindrisch filter 39. Door dit filter 39 heen stroomt het via de as 40 toegevoerde gas in horizontale radiale richting in laminaire stroming door de cassettes 9. Nabij de buitenomtrek stroomt het gas omhoog en wordt afgevoerd via een in het bovenste gedeelte van de inrichting 35 aangebrachte afvoer 41. Door de aldus gerealiseerde centrale toevoer van het gas zijn alle zijden van de inrichting 35 mogelijk toegankelijk voor aansluiting met andere eenheden. De gascirculatie is, zoals bekend, noodzakelijk voor het handhaven van een zeer laag stof deeltjesniveau in de inrichting.
In figuur 4 is de reeds eerder beschreven eenheid 10 met het behandelstation voor de schijven 13 getoond. De doorvoeropening 44 geeft toegang tot een voor deze opening gepositioneerde schijfdrager 16. Zoals eerder beschreven wordt de gevulde schijfdrager met behulp van de hefinrichting 17 opgetild tot in de oven 45 om daarin een warmtebehandeling te ondergaan. De carrousel 14 is in de eenheid 10 roteerbaar gelagerd en kan door middel van een aandrijfinrichting 46 in drie gewenste verdraaiingsstanden worden gepositioneerd.
Ook de eenheid 10 is voorzien van een eigen gascir-culatie-inrichting. Het gas wordt toegevoerd via een centrale as 47 bij 48. Het gas komt daarbij terecht in een centrale ruimte tussen de drie compartimenten 15, die elk een schijfdrager 16 bevatten. Een scheidingswand van elk compartiment 15 met deze centrale ruimte 51 is voorzien van een filterelement 52. Het toegevoerde gas stroomt via dit fil-terelement 52 de compartimenten 15 binnen en in laminaire stroming tussen de in de schijfdrager opgenomen schijven door. Aan de tegenover het filter 52 liggende zijde van elk compartiment 15 is nabij de omtrek van de carrousel 14 een opening 53 gevormd, die correspondeert met openingen 50 in de bodem 49 van de ruimte waarin de carrousel 14 is opgesteld. Tussen de schijven 13 door geblazen gas wordt via deze openingen afgevoerd naar de ruimte onder de carrousel 14. Vanuit deze ruimte wordt deze met behulp van een venti lator weer teruggevoerd naar de gastoevoer 48 via de as 47. In de situatie waarin ongewenst gas uit de binnenruimte van de inrichting gespoeld moet worden, bijvoorbeeld wanneer zuurstof daaruit verdrongen moet worden door stikstof, zal zuivere stikstof bij 48 worden toegevoerd en het via de afvoeropeningen 53, 50 afgevoerd gasmengsel buiten de inrichting worden gebracht, totdat het niveau van het ongewenste gas tot een voldoende lage waarde is afgenomen. Vanaf dat moment kan recirculatie worden ingesteld, zodat de afvoer via een ventilatoreenheid verbonden wordt met de toevoer 48.
De inrichting kan samengesteld zijn uit verschillende geschakelde eenheden. Hoewel in de voorgaande beschrijving als behandelstation een verticale oveneenheid in detail is beschreven, is de uitvinding uiteraard niet beperkt tot een inrichting met oveneenheden als behandelstations. Ook andere behandelstations kunnen op de beschreven wijze worden uitgevoerd en in een inrichting volgens de uitvinding worden gecombineerd.

Claims (13)

1. Inrichting voor het behandelen van microschake-ling-schijven (wafers), omvattende een invoerstation voor cassettes met schijven, ten minste één behandelstation waarin schijfdragers en daarmee samenwerkende behandelings-middelen zijn opgesteld en een overdrachtstation voorzien van overdrachtmiddelen voor het uit de cassettes uitnemen en in de schijfdragers plaatsen van schijven en na behandeling uit de schijfdragers uitnemen en in de cassettes plaatsen van de schijven, waarbij ten minste het invoerstation en het behandelstation uitgevoerd zijn als afzonderlijke schakelba-re eenheden met een in dwarsdoorsnede ten minste gedeeltelijk regelmatig veelhoekige omtrek waarbij deze eenheden ter plaatse van de zijden van de regelmatig veelhoekige omtrek aansluiten op andere eenheden.
2. Inrichting volgens conclusie 1, waarbij elke schakelbare eenheid een gesloten kast heeft met een in horizontale dwarsdoorsnede ten minste gedeeltelijk regelmatig veelhoekige omtrek met een afsluitbare doorvoeropening in tenminste één van de zijden van de regelmatig veelhoekige omtrek.
3. Inrichting volgens conclusie 1 of 2, waarbij het overdrachtstation een vierkante omtrek heeft en de invoeren behandelstations elk gedeeltelijk een regelmatig achthoekige omtrek hebben met hoeken van 135* en met een zijde met gelijke lengte als die van het overdrachtstation aan dit overdrachtstation aansluiten.
4. Inrichting volgens één van de voorgaande conclusies, waarbij elke eenheid van een eigen gascirculatie-inrichting is voorzien.
5. Inrichting volgens conclusie 4, waarbij de gascirculatie-inrichting een centraal gasuitblaasorgaan en gasafvoermiddelen nabij de omtrek omvat en voorzien is van gasgeleidingsmiddelen die het gas in een laminaire stroming van het gasuitblaasorgaan, langs de schijven naar de gasaf-voermiddelen kan geleiden.
6. Inrichting volgens conclusie 5, waarbij het invoerstation een carrousel voor het ondersteunen van een aantal schijfcassettes omvat en de gasgeleidingsmiddelen een concentrisch met de rotatieas van de carrousel opgesteld buisvormig filterelement omvat, waarbij het gasuitblaasorgaan aangesloten is op het inwendige van het buisvormige f ilterelement.
7. Inrichting volgens conclusie 5, waarbij ten minste één van de behandelstations een thermisch behandels-tation is waarbij de schijfdragers aan een carrousel zijn aangebracht en de gasgeleidingsmiddelen een evenwijdig aan elke schijfdrager en naast deze opgesteld filterelement omvatten en geleidingswanden voor het van het centrale uitblaasorgaan naar de van de schijfdrager afgekeerde zijde van het filterelement geleiden van gas.
8. Inrichting volgens conclusie 7, waarbij elke schijfdrager opgesteld is in een afgezonderd compartiment van de carrousel.
9. Inrichting volgens conclusie 7 of 8, waarbij de carrousel drie schijfdragers omvat, en aandrijf- en arre-teermiddelen aanwezig zijn voor het in drie rotatiestanden bewegen en arreteren van de carrousel, en waarbij boven een met de positie van één van de schijfdragers in de rotatiestanden overeenkomende positie een buisvormige oven is aangebracht en hefmiddelen aanwezig zijn voor het ten opzichte van de carrousel tot in de oven opheffen van een schijfdrager.
10. Inrichting volgens één van de voorgaande conclusies, waarbij het overdrachtstation een op een hefinrichting gemonteerde op zichzelf bekende roboteenheid omvat.
11. Invoerstation kennelijk bestemd voor een inrichting volgens één van de voorgaande conclusies.
12. Behandelstation kennelijk bestemd voor een inrichting volgens één van de voorgaande conclusies.
13. Overdrachtstation kennelijk bestemd voor een inrichting volgens één van de voorgaande conclusies.
NL9200446A 1992-03-10 1992-03-10 Inrichting voor het behandelen van microschakeling-schijven (wafers). NL9200446A (nl)

Priority Applications (9)

Application Number Priority Date Filing Date Title
NL9200446A NL9200446A (nl) 1992-03-10 1992-03-10 Inrichting voor het behandelen van microschakeling-schijven (wafers).
TW082101585A TW228601B (nl) 1992-03-10 1993-03-04
DE69322671T DE69322671T2 (de) 1992-03-10 1993-03-05 Vorrichtung zur Behandlung von Wafers mit Microschaltungen
AT93200622T ATE175052T1 (de) 1992-03-10 1993-03-05 Vorrichtung zur behandlung von wafers mit microschaltungen
SG1996007891A SG49224A1 (en) 1992-03-10 1993-03-05 Device for treating microcircuit wafers
EP93200622A EP0560439B1 (en) 1992-03-10 1993-03-05 Device for treating microcircuit wafers
US08/027,687 US5407449A (en) 1992-03-10 1993-03-08 Device for treating micro-circuit wafers
KR1019930003544A KR100278154B1 (ko) 1992-03-10 1993-03-10 마이크로회로 웨이퍼들을 처리하기 위한 장치
JP07625793A JP3408278B2 (ja) 1992-03-10 1993-03-10 マイクロ回路ウェファー加工装置

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
NL9200446 1992-03-10
NL9200446A NL9200446A (nl) 1992-03-10 1992-03-10 Inrichting voor het behandelen van microschakeling-schijven (wafers).

Publications (1)

Publication Number Publication Date
NL9200446A true NL9200446A (nl) 1993-10-01

Family

ID=19860542

Family Applications (1)

Application Number Title Priority Date Filing Date
NL9200446A NL9200446A (nl) 1992-03-10 1992-03-10 Inrichting voor het behandelen van microschakeling-schijven (wafers).

Country Status (9)

Country Link
US (1) US5407449A (nl)
EP (1) EP0560439B1 (nl)
JP (1) JP3408278B2 (nl)
KR (1) KR100278154B1 (nl)
AT (1) ATE175052T1 (nl)
DE (1) DE69322671T2 (nl)
NL (1) NL9200446A (nl)
SG (1) SG49224A1 (nl)
TW (1) TW228601B (nl)

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6833035B1 (en) 1994-04-28 2004-12-21 Semitool, Inc. Semiconductor processing system with wafer container docking and loading station
US5664337A (en) * 1996-03-26 1997-09-09 Semitool, Inc. Automated semiconductor processing systems
US6712577B2 (en) 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
US6447232B1 (en) 1994-04-28 2002-09-10 Semitool, Inc. Semiconductor wafer processing apparatus having improved wafer input/output handling system
US5733024A (en) * 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
US5768125A (en) 1995-12-08 1998-06-16 Asm International N.V. Apparatus for transferring a substantially circular article
US6723174B2 (en) 1996-03-26 2004-04-20 Semitool, Inc. Automated semiconductor processing system
US6279724B1 (en) 1997-12-19 2001-08-28 Semitoll Inc. Automated semiconductor processing system
US6942738B1 (en) 1996-07-15 2005-09-13 Semitool, Inc. Automated semiconductor processing system
US5668452A (en) * 1996-05-09 1997-09-16 Vlsi Technology, Inc. Magnetic sensing robotics for automated semiconductor wafer processing systems
JP2000514956A (ja) * 1996-07-15 2000-11-07 セミトゥール・インコーポレイテッド 自動化された半導体処理システム
NL1005102C2 (nl) 1997-01-27 1998-07-29 Advanced Semiconductor Mat Inrichting voor het behandelen van halfgeleiderschijven.
USD410438S (en) * 1997-01-31 1999-06-01 Tokyo Electron Limited Heat retaining tube for use in a semiconductor wafer heat processing apparatus
USD406113S (en) * 1997-01-31 1999-02-23 Tokyo Electron Limited Processing tube for use in a semiconductor wafer heat processing apparatus
NL1005410C2 (nl) 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
USD405062S (en) * 1997-08-20 1999-02-02 Tokyo Electron Ltd. Processing tube for use in a semiconductor wafer heat processing apparatus
NL1008143C2 (nl) 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
NL1010317C2 (nl) 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
US6672358B2 (en) 1998-11-06 2004-01-06 Canon Kabushiki Kaisha Sample processing system
JP2000150836A (ja) * 1998-11-06 2000-05-30 Canon Inc 試料の処理システム
TW484184B (en) 1998-11-06 2002-04-21 Canon Kk Sample separating apparatus and method, and substrate manufacturing method
US6616394B1 (en) 1998-12-30 2003-09-09 Silicon Valley Group Apparatus for processing wafers
NL1011487C2 (nl) * 1999-03-08 2000-09-18 Koninkl Philips Electronics Nv Werkwijze en inrichting voor het roteren van een wafer.
NL1013984C2 (nl) 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
US6420864B1 (en) * 2000-04-13 2002-07-16 Nanophotonics Ag Modular substrate measurement system
JP2004524673A (ja) 2000-07-07 2004-08-12 セミトゥール・インコーポレイテッド 自動処理システム
US6632068B2 (en) 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
KR100414469B1 (ko) * 2001-01-31 2004-01-07 박웅기 전자부품의 열처리장치
TW522127B (en) * 2001-02-21 2003-03-01 Daifuku Kk Cargo storage facility
US20020153578A1 (en) * 2001-03-01 2002-10-24 Ravinder Aggarwal Wafer buffering system
KR100376963B1 (ko) * 2001-03-15 2003-03-26 주성엔지니어링(주) 배치방식 웨이퍼 이송장치
US6629813B2 (en) * 2001-04-18 2003-10-07 Taiwan Semiconductor Manufacturing Co., Ltd Microelectronic fabrication tool loading method providing enhanced microelectronic fabrication tool operating efficiency
JP3832295B2 (ja) * 2001-08-31 2006-10-11 株式会社ダイフク 荷取り扱い設備
US6573198B2 (en) 2001-10-10 2003-06-03 Asm International N.V. Earthquake protection for semiconductor processing equipment
NL1020054C2 (nl) * 2002-02-25 2003-09-05 Asm Int Inrichting voor het behandelen van wafers, voorzien van een meetmiddelendoos.
US6835039B2 (en) * 2002-03-15 2004-12-28 Asm International N.V. Method and apparatus for batch processing of wafers in a furnace
DE10238165B3 (de) * 2002-08-15 2004-03-25 Hans-Heinz Helge Langgestrecktes Rolladenprofil aus Kunststoff oder Metall für Schwimmbadabdeckungen
US6860710B1 (en) * 2002-08-30 2005-03-01 Novellus Systems, Inc. Lifting mechanism for integrated circuit fabrication systems
US7256375B2 (en) * 2002-08-30 2007-08-14 Asm International N.V. Susceptor plate for high temperature heat treatment
JP2004297040A (ja) * 2003-03-12 2004-10-21 Seiko Epson Corp 移載装置、搬送装置及び移載方法
US7033126B2 (en) * 2003-04-02 2006-04-25 Asm International N.V. Method and apparatus for loading a batch of wafers into a wafer boat
JP4667376B2 (ja) * 2003-07-02 2011-04-13 クック インコーポレイテッド 小ゲージ針カテーテル挿入器具
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US6883250B1 (en) * 2003-11-04 2005-04-26 Asm America, Inc. Non-contact cool-down station for wafers
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US20060065634A1 (en) * 2004-09-17 2006-03-30 Van Den Berg Jannes R Low temperature susceptor cleaning
US20060060145A1 (en) * 2004-09-17 2006-03-23 Van Den Berg Jannes R Susceptor with surface roughness for high temperature substrate processing
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
US20060231388A1 (en) * 2005-04-14 2006-10-19 Ravi Mullapudi Multi-station sputtering and cleaning system
KR100972255B1 (ko) * 2005-08-05 2010-07-23 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드 아시아 반도체 공작물 처리 시스템 및 처리 방법
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
DE102007058457B4 (de) 2006-12-08 2018-06-07 Cascade Microtech, Inc. Anordnung und Verfahren zur Testung von Halbleitersubstraten unter definierter Atmosphäre
US7585142B2 (en) 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
US9059223B2 (en) * 2007-12-12 2015-06-16 Intermolecular, Inc. Modular flow cell and adjustment system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP4665037B2 (ja) * 2009-02-06 2011-04-06 東京エレクトロン株式会社 基板処理システム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102010048909A1 (de) * 2010-10-11 2012-04-12 Ekra Automatisierungssysteme Gmbh Prozessmaschine, insbesondere zum Bearbeiten und/oder Inspizieren von Substraten
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9048271B2 (en) 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6219402B2 (ja) * 2012-12-03 2017-10-25 エーエスエム イーペー ホールディング ベー.フェー. モジュール式縦型炉処理システム
JP5557170B2 (ja) * 2013-01-23 2014-07-23 株式会社ニコン ウエハ張り合わせ装置及びウエハ張り合わせ方法
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) * 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10858738B2 (en) * 2018-03-29 2020-12-08 Asm International N.V. Wafer boat cooldown device
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) * 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11915960B2 (en) * 2019-07-31 2024-02-27 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4715764A (en) * 1986-04-28 1987-12-29 Varian Associates, Inc. Gate valve for wafer processing system
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4926793A (en) * 1986-12-15 1990-05-22 Shin-Etsu Handotai Co., Ltd. Method of forming thin film and apparatus therefor
JPS63252439A (ja) * 1986-12-19 1988-10-19 アプライド マテリアルズインコーポレーテッド 多チャンバの統合処理システム
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
DE3827343A1 (de) * 1988-08-12 1990-02-15 Leybold Ag Vorrichtung nach dem karussel-prinzip zum beschichten von substraten
US5064337A (en) * 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JP2683933B2 (ja) * 1989-01-20 1997-12-03 信越半導体株式会社 半導体ウエーハの表裏および方位判定検査装置
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법

Also Published As

Publication number Publication date
KR100278154B1 (ko) 2001-02-01
EP0560439B1 (en) 1998-12-23
ATE175052T1 (de) 1999-01-15
DE69322671T2 (de) 1999-06-17
KR930020627A (ko) 1993-10-20
EP0560439A1 (en) 1993-09-15
JP3408278B2 (ja) 2003-05-19
TW228601B (nl) 1994-08-21
DE69322671D1 (de) 1999-02-04
JPH0621197A (ja) 1994-01-28
SG49224A1 (en) 1998-05-18
US5407449A (en) 1995-04-18

Similar Documents

Publication Publication Date Title
NL9200446A (nl) Inrichting voor het behandelen van microschakeling-schijven (wafers).
KR930002562B1 (ko) 클린룸내에서 사용되는 방진저장 캐비넷장치
US10930536B2 (en) Workpiece stocker with circular configuration
NL1005102C2 (nl) Inrichting voor het behandelen van halfgeleiderschijven.
US5679059A (en) Polishing aparatus and method
US4924890A (en) Method and apparatus for cleaning semiconductor wafers
NL1009327C2 (nl) Werkwijze en inrichting voor het overbrengen van wafers.
US7216655B2 (en) Wafer container washing apparatus
WO2002005316A2 (en) Wafer container washing apparatus
US7935185B2 (en) Film forming system and film forming method
JP2004524673A (ja) 自動処理システム
US6691718B2 (en) Wafer container cleaning system
KR101989204B1 (ko) 웨이퍼 형상 물체를 프로세싱하는 디바이스 및 방법
US20050224103A1 (en) Centrifugal container cleaning system
JP2013541066A (ja) セキュリティー又は識別対象物を処理するシステム及び方法
US4259002A (en) Plate processing apparatus
KR20220119787A (ko) 다목적 수산물 건조장치
US1690024A (en) Drying machine
JPS62172127A (ja) 清浄保管装置
JP3883256B2 (ja) ポリッシング装置
KR20200032919A (ko) 모듈형 용기 스토커 장치 및 그에 사용되는 카로셀 타입의 용기 보관 모듈
NL1027902C2 (nl) Sluis om materialen te kunnen toevoeren vanuit en naar een afgesloten ruimte waar een bepaalde gasatmosfeer heerst en een werkwijze om met behulp van de sluis materialen naar een afgesloten ruimte te verplaatsen.
JPH04186857A (ja) オートハンドラの半導体装置供給装置
JPH08320958A (ja) 硬貨処理装置
TWM249202U (en) Furnace carrousel combination

Legal Events

Date Code Title Description
A1B A search report has been drawn up
CNR Transfer of rights (patent application after its laying open for public inspection)

Free format text: CVTR DEVELOPMENT B.V.

CNR Transfer of rights (patent application after its laying open for public inspection)

Free format text: ADVANCED SEMICONDUCTOR MATERIALS INTERNATIONAL N.V.

BC A request for examination has been filed
BV The patent application has lapsed