JPH0621197A - マイクロ回路ウェファー加工装置 - Google Patents

マイクロ回路ウェファー加工装置

Info

Publication number
JPH0621197A
JPH0621197A JP5076257A JP7625793A JPH0621197A JP H0621197 A JPH0621197 A JP H0621197A JP 5076257 A JP5076257 A JP 5076257A JP 7625793 A JP7625793 A JP 7625793A JP H0621197 A JPH0621197 A JP H0621197A
Authority
JP
Japan
Prior art keywords
wafer
station
microcircuit
gas
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP5076257A
Other languages
English (en)
Other versions
JP3408278B2 (ja
Inventor
Yan Zinger
ヤン・ズィンガー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TEMPRESS BV
TENPURESU BV
Original Assignee
TEMPRESS BV
TENPURESU BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by TEMPRESS BV, TENPURESU BV filed Critical TEMPRESS BV
Publication of JPH0621197A publication Critical patent/JPH0621197A/ja
Application granted granted Critical
Publication of JP3408278B2 publication Critical patent/JP3408278B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Abstract

(57)【要約】 【目的】 効率よく経済的に製造できる既存タイプのマ
イクロ回路ウェファー加工装置を提供する。 【構成】 本装置は、ウェファー搭載カセット用の1基
の装入ステーション、ウェファー・キャリヤと付属の加
工処理手段を配置する少なくとも1基の加工ステーショ
ン、およびウェファーをカセットから取り出してウェフ
ァー・キャリヤに載せ、加工処理後ウェファーをウェフ
ァー・キャリヤから下ろしてカセットに戻す移送手段を
備えた1基の移送ステーションから構成される。少なく
とも装入ステーションと加工ステーションは、少なくと
も部分的に正多角形の断面を有し、これらのユニットが
正多角形の側面の位置で他のユニットと接続する、別個
の接続可能ユニットとして具体化される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、マイクロ回路ウェファ
ー加工装置に関する。本装置はウェファー搭載カセット
用の装入ステーション、加工ステーション、および移送
ステーションから構成される。加工ステーションは少な
くとも1基あってそこにウェファー・キャリヤと付属の
加工手段が配置され、移送ステーションはウェファーを
カセットから取り出してウェファー・キャリヤに載せ、
加工処理後、ウェファー・キャリヤからウェファーを取
り出しカセットに戻す手段を備えている。
【0002】
【従来の技術】このような装置は、集積回路の生産にお
いてシリコン・ウェファーの散布工程とLPCVD工程
などでよく知られ使用されている。この種の既存の装置
は、特定の単一あるいは複合加工処理専用に製造され、
この目的に沿って必要となるすべてのステーションと補
助手段を備えている。
【0003】
【発明が解決しようとする課題】本発明の目的は、効率
よく、したがって経済的に製造できる既存タイプの装置
を提供することである。
【0004】
【課題を解決するための手段】同目的は、請求項1に示
すステップを有する発明に基づく装置により達成され
る。
【0005】これにより、装置は相互に接続可能なユニ
ットによって特定のアセンブリーに構成することができ
る。ある特定のステーションは、各ユニットの多数の側
面に接続可能である。したがって、例えば1基の装入ス
テーションに多数の加工ステーションを接続して、各種
の多様な加工処理を装置内で順次実行することができ
る。加工ステーションは別個のユニットであることか
ら、加工ステーションの組み合わせは自在である。
【0006】さらに利点の多い発展形を請求項2に記載
する。これにより、各接続可能ユニット内の気体状態を
特定の要求に合致するように、厳密に制御し、適合させ
ることが可能である。
【0007】請求項3で述べるさらに望ましい具体化に
より、ユニット接続の持つ効率の高い潜在能力が得られ
る。
【0008】
【実施例】その他の本発明の利点およびステップを、付
属図に示す何種類かの具体化を参照しつつ以下に述べ
る。
【0009】図1に示す装置1は、一般にターム・ウェ
ファーと称するマイクロ回路ディスクの加工処理用であ
る。ターム・ウェファーは、多数の加工ステップを施し
てウェファー表面上に多数の集積回路を形成し、集積回
路はそののちウェファーから切り出されて以後の工程に
供される。
【0010】装置1を使用して、ターム・ウェファー上
で3種類の(場合によっては連続した)加工処理を施す
ことができる。
【0011】通常、ウェファーはカセットに入れて移送
するが、カセットを図1の装入ステーション2の9番で
示す。装入ステーション2は、3レベルある各レベルに
それぞれ6個のカセット、合計18個のカセットを搭載
できる回転テーブル4から構成される。
【0012】装入ユニット2は、例えば壁面3で、有塵
率を極めて低く保ったクリーン・ルームに接続する。カ
セット9は装入口5を通して回転テーブル4に載せるこ
とができる。装入口5は図に示されていない遮閉機構か
らなる特殊装入ユニットに接続できる。これを使用する
ことにより、カセット挿入時に、特に酸素など、望まし
くない気体が装置1の内部に侵入するのを防止できる。
【0013】図から明らかなように、ユニット2の断面
は少なくとも部分的に正多角形をなしている。すなわ
ち、図1の右手の3壁面の断面は正八角形の一部となっ
ている。後述する加工ステーション10、11、12も
同様に断面が少なくとも部分的に正多角形をなしてい
る。ユニット2、10、11、12は別個のユニットと
して具体化され、正多角形の側面7のような側面の位置
で他のユニットに接続する。
【0014】装置1の中央ユニットは、後述するロボッ
ト・ユニットを備えた移送ユニット8で構成される。こ
のロボット・ユニット8は、カセット9からウェファー
13を取り出し、加工ステーション10、11、12内
のウェファー・キャリヤに載せる。加工処理後、ロボッ
ト8は加工処理済みウェファーを加工ステーションのウ
ェファー・キャリヤから再び取り出して、後続の加工ス
テーション、あるいは回転テーブル4により回転する空
のカセット9に入れる。加工処理済みウェファー13搭
載カセット9は、出口6を通して装入ステーション2か
ら取り出すことができる。出口6は、例えば装入口5と
同様の遮閉機構を備えた別個の出口ステーションであっ
てもよい。
【0015】図4を参照する段でもっと詳しく述べる
が、図1の加工ステーション10、11、12は、それ
ぞれ本発明の望ましい具体化に基づいて3個の仕切り部
屋15が定義されている回転テーブル14を備えてい
る。各仕切り部屋はウェファー・キャリヤ16を装備し
ている。回転テーブル14は、3か所の回転位置で移動
・停止が可能である。この各位置で、移送ステーション
8にあるロボットはウェファー・キャリヤのいずれかに
アクセスできる。ウェファー・キャリヤ16を3個の仕
切り部屋15のいずれかに入れた後で、回転テーブル1
4は時計方向に3分の1回転する。ここで部屋に入れら
れたウェファー・キャリヤは昇降装置17の上、オーブ
ンの下に位置づけられる。昇降装置17により、部屋に
入れられたウェファー・キャリヤはオーブンの中に上昇
し、加熱処理を施される。
【0016】加熱処理が完了すると、ウェファー・キャ
リヤは再びテーブル14まで下降し、続いてテーブルが
さらに3分の1回転する。同時に後続のウェファー・キ
ャリヤ16が部屋に入れられ、昇降装置17を使用して
オーブンに入る。その間に加工処理済みウェファーは冷
却することができる。もう一度加工処理サイクルが終了
すると、回転テーブルがさらに3分の1回転し、加工処
理済みウェファーと冷却済みウェファーを載せたウェフ
ァー・キャリヤ16は移送ステーション8に隣接する開
始位置に戻される。次に加工処理済みウェファーはウェ
ファー・キャリヤから取り出されて、例えば加工ステー
ション11の待機中のウェファー・キャリヤか待機中の
カセット9に入れられる。この場合、加工ステーション
11、12の動作も同じである。
【0017】図1で明らかなように、ユニット10、1
1、12は実質的に同一である。したがって、全体のユ
ニット1は、別個に製造できる複数の部分ユニットから
組み立てられる。
【0018】他の応用例では、装入ステーション2を加
工ユニット10、11、12のうちの1基または2基と
組み合わせることができる。もちろん、加工ユニット1
0、11、12の代わりに他の加工ステーションを使用
してもよい。断面が少なくとも部分的に正多角形をな
し、正多角形の側面の位置で他のユニットに接続すると
いう別個の接続可能ユニットを使用する結果、所望の加
工処理に合わせて極めて自由自在に装置を構成すること
が可能である。
【0019】さらに図1では、移送ユニット8は正方形
をなすものとして具体化され、装入ステーションと加工
ステーション2、10、11、12は、それぞれ角度1
35゜、辺の長さが移送ステーション8の辺の長さに等
しい正八角形の一部をなす。これにより、4基のユニッ
トを1基の移送ユニット8と組み合わせて接続すること
ができる。
【0020】ただし、本発明に基づく構成により別の組
み立て方法も可能である。
【0021】例えば、図2では装置は図1の装入ユニッ
トに相当する1基の装入ユニット21と、それぞれが加
工ユニット10、11、12に相当する2基の加工ユニ
ット23、32から構成されている。この場合も、移送
ステーション22が配置されている。この移送ユニット
は上述のようにウェファー13をカセット9から取り出
して加工ステーションのウェファー・キャリヤ27に載
せたり、その逆を行うロボット25から構成されてい
る。ロボット25はそれ自体は既存のロボットでよく、
ロボットの作動アームが下部カセット内の一番下のウェ
ファーと上部カセット9の一番上のウェファーの高さの
差を橋渡しすることができるように昇降装置に取り付け
られる。こうしない場合には、通常、ウェファーの移動
が一番下から一番上に向かって順次発生する。すなわ
ち、ウェファー13が一番下のものから順次一番上のも
のに向かってカセット9またはウェファー・キャリヤ2
7から取り出され、再び一番上から一番下に向かって順
次ウェファー・キャリヤまたはカセットに戻される。こ
のようにして、塵粒子が下にあるウェファーに落ちるの
を防止する。
【0022】図2から明らかなように、この具体化で
は、各接続可能ユニットには正多角形部分の側面の少な
くとも一つに閉鎖可能な通路口のある閉じた容器があ
る。この具体化では、加工ステーション23、32には
それぞれ、1個の通路口26がある。装入ステーション
21には3個の通路口24がある。通路口は、ガイド3
0に受け止められ空圧シリンダー31によって上下に移
動できるハッチ29を使用して開閉することができる。
ガイド30には膨張可能シールを取り付けるのが望まし
い。このシールは、ハッチ29が閉じた状態で膨張する
ので密着性が得られる。ハッチ29を動かす必要がある
場合は、シールがハッチ29から分離するように無圧状
態にする。その結果、ハッチ29を開いたときに本発明
に基づく装置の環境で特に望ましくない遊塵粒子の形成
のおそれが極めて小さくなるように接触は皆無か最小に
なる。
【0023】図2には、回転テーブル28が受け取った
ウェファー・キャリア27も示してある。
【0024】図3は、本発明に基づく装置用の接続可能
ユニットの別の具体化を示す。このユニット35は、断
面で各側面が等しい完全な正八角形になっている。ユニ
ット35には図2に示したユニット21の通路口24に
類似した4個の通路口38がある。ユニット35はすべ
ての側面で共同動作するユニットに結合できるように8
個の通路口を備えることも可能である。このようにし
て、本発明に基づく所望の装置に合わせてユニットを最
大限柔軟に組み立てることができる。
【0025】ユニット35も、3レベルある各レベルに
ウェファー13用の8個のカセット9を載せることがで
きる回転テーブル36から構成されている。テーブル3
6は、装置35の閉じた仕切り部屋に収納された駆動装
置37を使用して、回転させたり、異なる回転位置で停
止させることができる。
【0026】ユニット35にはそれ自体の気体循環装置
がある。これは回転テーブルのシャフト40を経由する
中央気体供給装置から構成され、シャフトは中央に配置
された円筒形フィルター39の内部空間に通じている。
シャフト40経由で供給される気体は、このフィルター
39を水平円筒方向に流れ、カセット9を層流となって
流れる。外縁付近では気体は上向きに流れ、装置35の
上部にある出口41から排出される。このように実現さ
れた中央気体供給装置により、装置35のすべての側面
が他のユニットとの接続に使用できる。気体循環は、周
知のように装置内の塵粒子レベルを極めて低い状態に保
つために必要である。
【0027】図4には、ウェファー13用の加工ステー
ションを付けた前述のユニット10を示す。通路口44
は、通路口正面にあるウェファー・キャリヤにアクセス
するためのものである。前述のように、ウェファーを載
せたウェファー・キャリヤは昇降装置17を使用してオ
ーブン45の中に上昇し、加熱処理を施される。
【0028】回転テーブル14は回転できるようにユニ
ット10に取り付けられ、駆動装置46によって希望す
る3か所の回転位置で停止させることができる。
【0029】ユニット10にもそれ自体の気体循環装置
が付いている。気体は中央シャフト47経由で48の位
置で供給される。気体はそこで、それぞれウェファー・
キャリヤ16を収容する3個の仕切り部屋15の間の中
央の空間に入る。この中央の空間51のある各仕切り部
屋15の仕切り壁面にはフィルター素子52が付いてい
る。供給気体は、このフィルター素子52経由で仕切り
部屋15に流入し、ウェファー・キャリヤに載せられた
ウェファーの間を層流となって流れる。対向するフィル
ター52を持つ各仕切り部屋15の側面に開口部53が
回転テーブル14の外縁付近に設けられ、この開口部は
回転テーブル14が配置された空間の底部49にある開
口部50に対応する。ウェファー13の間を流れる気体
は、これらの開口部を通して回転テーブル14の下の空
間に排出される。気体はファンを使用してシャフト47
経由でこの空間から再び気体供給装置48に戻される。
装置の内部空間から望ましくない気体を強制排出しなけ
ればならない状況では(例えば、酸素を窒素によって排
出しなければならない場合)、純粋窒素を48の位置で
供給し、望ましくない気体のレベルが十分低い値になる
まで混合気体を排出口53、50経由で装置から排出す
る。この状態になったら、ファン装置経由で排気を供給
装置48に接続するように再循環に設定することができ
る。
【0030】本装置は異なる接続ユニットから組み立て
ることができる。先の説明では垂直のオーブン・ユニッ
トを加工ステーションとして詳細に説明したが、本発明
はもちろんオーブン・ユニットを加工ステーションとす
る装置に限定するものではない。説明した方法で他の加
工ステーションを具体化し、本発明に基づく装置に組み
合わせることも可能である。
【図面の簡単な説明】
【図1】本発明の一番目の具体化に基づく装置の水平断
面図である。
【図2】本発明の別の具体化に基づく一部を切りとった
内部透視図である。
【図3】本発明に基づく装入ステーションの一部を切り
とった内部透視図である。
【図4】本発明に基づく加工ステーションの一部を切り
とった内部透視図である。
【符号の説明】
2 装入ステーション 4 回転テーブル 5 装入口 6 出口 7 側面 8 ロボット・ユニット 9 カセット 10、11、12 加工ステーション 13 ウェファー 14 回転テーブル 15 仕切り部屋 16 ウェファー・キャリヤ 17 昇降装置 21 装入ユニット 22 移送ステーション 23、32 加工ユニット 24、26 通路口 25 ロボット 27 ウェファー・キャリヤ 28 回転テーブル 29 ハッチ 30 ガイド 31 空圧シリンダー 35 ユニット 36 回転テーブル 37 駆動装置 38 通路口 39 円筒形フィルター 40 シャフト 41 出口 44 通路口 45 オーブン 46 駆動装置 47 中央シャフト 50 開口部 52 フィルター素子 53 出口

Claims (13)

    【特許請求の範囲】
  1. 【請求項1】 ウェファー搭載カセット用の1基の装入
    ステーション、ウェファー・キャリヤと付属の加工処理
    手段を配置する少なくとも1基の加工ステーション、お
    よびウェファーをカセットから取り出してウェファー・
    キャリヤに載せ、加工処理後ウェファーをウェファー・
    キャリヤから下ろしてカセットに搭載する移送手段を備
    えた1基の移送ステーションから構成され、少なくとも
    装入ステーションと加工ステーションは、少なくとも部
    分的に正多角形の断面を有し、これらのユニットが正多
    角形の側面の位置で他のユニットと接続する別個の接続
    可能ユニットとして具体化されることを特徴とする、マ
    イクロ回路ウェファー加工装置。
  2. 【請求項2】 各接続可能ユニットが少なくとも正多角
    形の側面の一つに閉鎖可能な通路口を有し、水平断面で
    少なくとも部分的に正多角形をなす閉じた容器を持つこ
    とを特徴とする、請求項1に記載のマイクロ回路ウェフ
    ァー加工装置。
  3. 【請求項3】 移送ステーションが正方形をなし、装入
    ステーションと加工ステーションがそれぞれ角度が13
    5゜の正八角形の一部をなして移送ステーションの側面
    と等しい長さの側面で移送ステーションに接続すること
    を特徴とする、請求項1または2に記載のマイクロ回路
    ウェファー加工装置。
  4. 【請求項4】 各ユニットがそれ自体の気体循環装置を
    備えることを特徴とする、請求項1ないし3のいずれか
    1項に記載のマイクロ回路ウェファー加工装置。
  5. 【請求項5】 気体循環装置が外縁付近の中央気体散布
    手段と気体排出手段から構成され、気体を層流として気
    体散布手段からウェファーに沿い気体排出手段に導く気
    体誘導手段を備えることを特徴とする、請求項4に記載
    のマイクロ回路ウェファー加工装置。
  6. 【請求項6】 装入ステーションが多数のウェファー・
    カセットを支えるため回転テーブルから構成され、気体
    誘導手段がテーブルの回転軸と同心配置された円筒形フ
    ィルター素子から構成され、気体散布手段が円筒形フィ
    ルター素子内部に接続されることを特徴とする、請求項
    5に記載のマイクロ回路ウェファー加工装置。
  7. 【請求項7】 少なくとも加工ステーションのうちの1
    基が熱処理ステーションであってウェファー・キャリヤ
    が回転テーブル上に配置され、気体誘導手段が各ウェフ
    ァー・キャリヤに隣接してそれに平行に配置されたフィ
    ルター素子と、気体を中央散布手段からウェファー・キ
    ャリヤから遠い方のフィルター素子の側面に導くための
    ガイド壁面から構成されることを特徴とする、請求項5
    に記載のマイクロ回路ウェファー加工装置。
  8. 【請求項8】 各ウェファー・キャリヤが回転テーブル
    の隔離された仕切り部屋に配置されることを特徴とす
    る、請求項7に記載のマイクロ回路ウェファー加工装
    置。
  9. 【請求項9】 回転テーブルが3個のウェファー・キャ
    リヤから構成され、テーブルを3か所の回転位置に移動
    ・停止させる駆動手段および停止手段が存在し、チュー
    ブ形オーブンが回転位置におけるウェファー・キャリヤ
    のうちの1個の位置に対応した位置の上方に配置され、
    ウェファー・キャリヤをテーブルと相対的にオーブン内
    に上昇させる昇降手段が存在することを特徴とする、請
    求項7または8に記載のマイクロ回路ウェファー加工装
    置。
  10. 【請求項10】 移送ステーションが昇降装置に取り付
    けられたそれ自体は既存のロボット・ユニットから構成
    されることを特徴とする、請求項1ないし9のいずれか
    1項に記載のマイクロ回路ウェファー加工装置。
  11. 【請求項11】 請求項1ないし10のいずれか1項に
    記載のマイクロ回路ウェファー加工装置用に明白に意図
    された装入ステーション。
  12. 【請求項12】 請求項1ないし11のいずれか1項に
    記載のマイクロ回路ウェファー加工装置用に明白に意図
    された加工ステーション。
  13. 【請求項13】 請求項1ないし12のいずれか1項に
    記載のマイクロ回路ウェファー加工装置用に明白に意図
    された移送ステーション。
JP07625793A 1992-03-10 1993-03-10 マイクロ回路ウェファー加工装置 Expired - Fee Related JP3408278B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
NL9200446A NL9200446A (nl) 1992-03-10 1992-03-10 Inrichting voor het behandelen van microschakeling-schijven (wafers).
NL9200446 1992-03-10

Publications (2)

Publication Number Publication Date
JPH0621197A true JPH0621197A (ja) 1994-01-28
JP3408278B2 JP3408278B2 (ja) 2003-05-19

Family

ID=19860542

Family Applications (1)

Application Number Title Priority Date Filing Date
JP07625793A Expired - Fee Related JP3408278B2 (ja) 1992-03-10 1993-03-10 マイクロ回路ウェファー加工装置

Country Status (9)

Country Link
US (1) US5407449A (ja)
EP (1) EP0560439B1 (ja)
JP (1) JP3408278B2 (ja)
KR (1) KR100278154B1 (ja)
AT (1) ATE175052T1 (ja)
DE (1) DE69322671T2 (ja)
NL (1) NL9200446A (ja)
SG (1) SG49224A1 (ja)
TW (1) TW228601B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009076657A2 (en) * 2007-12-12 2009-06-18 Intermolecular, Inc. Modular flow cell and adjustment system
JP2010182919A (ja) * 2009-02-06 2010-08-19 Tokyo Electron Ltd 基板処理システム
JP2013140988A (ja) * 2013-01-23 2013-07-18 Nikon Corp ウエハ張り合わせ装置及びウエハ張り合わせ方法
JP2019176155A (ja) * 2018-03-29 2019-10-10 エーエスエム インターナショナル エヌ. ヴェー.ASM International N.V. ウェーハ・ボート冷却デバイス
JP2021504932A (ja) * 2017-11-27 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置

Families Citing this family (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6833035B1 (en) 1994-04-28 2004-12-21 Semitool, Inc. Semiconductor processing system with wafer container docking and loading station
US5664337A (en) * 1996-03-26 1997-09-09 Semitool, Inc. Automated semiconductor processing systems
US6712577B2 (en) 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
US6447232B1 (en) 1994-04-28 2002-09-10 Semitool, Inc. Semiconductor wafer processing apparatus having improved wafer input/output handling system
US5733024A (en) * 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
US5768125A (en) 1995-12-08 1998-06-16 Asm International N.V. Apparatus for transferring a substantially circular article
US6279724B1 (en) 1997-12-19 2001-08-28 Semitoll Inc. Automated semiconductor processing system
US6942738B1 (en) 1996-07-15 2005-09-13 Semitool, Inc. Automated semiconductor processing system
US6723174B2 (en) 1996-03-26 2004-04-20 Semitool, Inc. Automated semiconductor processing system
US5668452A (en) * 1996-05-09 1997-09-16 Vlsi Technology, Inc. Magnetic sensing robotics for automated semiconductor wafer processing systems
WO1998002910A1 (en) * 1996-07-15 1998-01-22 Semitool, Inc. Automated semiconductor processing systems
NL1005102C2 (nl) * 1997-01-27 1998-07-29 Advanced Semiconductor Mat Inrichting voor het behandelen van halfgeleiderschijven.
USD410438S (en) * 1997-01-31 1999-06-01 Tokyo Electron Limited Heat retaining tube for use in a semiconductor wafer heat processing apparatus
USD406113S (en) * 1997-01-31 1999-02-23 Tokyo Electron Limited Processing tube for use in a semiconductor wafer heat processing apparatus
NL1005410C2 (nl) 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
USD405062S (en) * 1997-08-20 1999-02-02 Tokyo Electron Ltd. Processing tube for use in a semiconductor wafer heat processing apparatus
NL1008143C2 (nl) 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
NL1010317C2 (nl) 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
JP2000150836A (ja) * 1998-11-06 2000-05-30 Canon Inc 試料の処理システム
US6672358B2 (en) 1998-11-06 2004-01-06 Canon Kabushiki Kaisha Sample processing system
TW484184B (en) 1998-11-06 2002-04-21 Canon Kk Sample separating apparatus and method, and substrate manufacturing method
US6616394B1 (en) 1998-12-30 2003-09-09 Silicon Valley Group Apparatus for processing wafers
NL1011487C2 (nl) * 1999-03-08 2000-09-18 Koninkl Philips Electronics Nv Werkwijze en inrichting voor het roteren van een wafer.
NL1013984C2 (nl) 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
US6420864B1 (en) * 2000-04-13 2002-07-16 Nanophotonics Ag Modular substrate measurement system
EP1332349A4 (en) 2000-07-07 2008-12-17 Semitool Inc AUTOMATED PROCESSING SYSTEM
US6632068B2 (en) * 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
KR100414469B1 (ko) * 2001-01-31 2004-01-07 박웅기 전자부품의 열처리장치
TW522127B (en) * 2001-02-21 2003-03-01 Daifuku Kk Cargo storage facility
US20020153578A1 (en) * 2001-03-01 2002-10-24 Ravinder Aggarwal Wafer buffering system
KR100376963B1 (ko) * 2001-03-15 2003-03-26 주성엔지니어링(주) 배치방식 웨이퍼 이송장치
US6629813B2 (en) * 2001-04-18 2003-10-07 Taiwan Semiconductor Manufacturing Co., Ltd Microelectronic fabrication tool loading method providing enhanced microelectronic fabrication tool operating efficiency
JP3832295B2 (ja) * 2001-08-31 2006-10-11 株式会社ダイフク 荷取り扱い設備
US6573198B2 (en) 2001-10-10 2003-06-03 Asm International N.V. Earthquake protection for semiconductor processing equipment
NL1020054C2 (nl) * 2002-02-25 2003-09-05 Asm Int Inrichting voor het behandelen van wafers, voorzien van een meetmiddelendoos.
US6835039B2 (en) * 2002-03-15 2004-12-28 Asm International N.V. Method and apparatus for batch processing of wafers in a furnace
DE10238165B3 (de) * 2002-08-15 2004-03-25 Hans-Heinz Helge Langgestrecktes Rolladenprofil aus Kunststoff oder Metall für Schwimmbadabdeckungen
US7256375B2 (en) * 2002-08-30 2007-08-14 Asm International N.V. Susceptor plate for high temperature heat treatment
US6860710B1 (en) * 2002-08-30 2005-03-01 Novellus Systems, Inc. Lifting mechanism for integrated circuit fabrication systems
JP2004297040A (ja) * 2003-03-12 2004-10-21 Seiko Epson Corp 移載装置、搬送装置及び移載方法
US7033126B2 (en) * 2003-04-02 2006-04-25 Asm International N.V. Method and apparatus for loading a batch of wafers into a wafer boat
US7749196B2 (en) * 2003-07-02 2010-07-06 Cook Incorporated Small gauge needle catheterization apparatus
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US6883250B1 (en) * 2003-11-04 2005-04-26 Asm America, Inc. Non-contact cool-down station for wafers
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US20060065634A1 (en) * 2004-09-17 2006-03-30 Van Den Berg Jannes R Low temperature susceptor cleaning
US20060060145A1 (en) * 2004-09-17 2006-03-23 Van Den Berg Jannes R Susceptor with surface roughness for high temperature substrate processing
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
US20060231388A1 (en) * 2005-04-14 2006-10-19 Ravi Mullapudi Multi-station sputtering and cleaning system
KR100972255B1 (ko) * 2005-08-05 2010-07-23 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드 아시아 반도체 공작물 처리 시스템 및 처리 방법
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
DE102007058457B4 (de) 2006-12-08 2018-06-07 Cascade Microtech, Inc. Anordnung und Verfahren zur Testung von Halbleitersubstraten unter definierter Atmosphäre
US7585142B2 (en) 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102010048909A1 (de) * 2010-10-11 2012-04-12 Ekra Automatisierungssysteme Gmbh Prozessmaschine, insbesondere zum Bearbeiten und/oder Inspizieren von Substraten
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9048271B2 (en) 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6219402B2 (ja) 2012-12-03 2017-10-25 エーエスエム イーペー ホールディング ベー.フェー. モジュール式縦型炉処理システム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) * 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11915960B2 (en) * 2019-07-31 2024-02-27 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4715764A (en) * 1986-04-28 1987-12-29 Varian Associates, Inc. Gate valve for wafer processing system
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4926793A (en) * 1986-12-15 1990-05-22 Shin-Etsu Handotai Co., Ltd. Method of forming thin film and apparatus therefor
JPS63252439A (ja) * 1986-12-19 1988-10-19 アプライド マテリアルズインコーポレーテッド 多チャンバの統合処理システム
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
DE3827343A1 (de) * 1988-08-12 1990-02-15 Leybold Ag Vorrichtung nach dem karussel-prinzip zum beschichten von substraten
US5064337A (en) * 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JP2683933B2 (ja) * 1989-01-20 1997-12-03 信越半導体株式会社 半導体ウエーハの表裏および方位判定検査装置
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009076657A2 (en) * 2007-12-12 2009-06-18 Intermolecular, Inc. Modular flow cell and adjustment system
WO2009076657A3 (en) * 2007-12-12 2009-09-17 Intermolecular, Inc. Modular flow cell and adjustment system
JP2010182919A (ja) * 2009-02-06 2010-08-19 Tokyo Electron Ltd 基板処理システム
JP4665037B2 (ja) * 2009-02-06 2011-04-06 東京エレクトロン株式会社 基板処理システム
JP2013140988A (ja) * 2013-01-23 2013-07-18 Nikon Corp ウエハ張り合わせ装置及びウエハ張り合わせ方法
JP2021504932A (ja) * 2017-11-27 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP2019176155A (ja) * 2018-03-29 2019-10-10 エーエスエム インターナショナル エヌ. ヴェー.ASM International N.V. ウェーハ・ボート冷却デバイス

Also Published As

Publication number Publication date
JP3408278B2 (ja) 2003-05-19
NL9200446A (nl) 1993-10-01
EP0560439A1 (en) 1993-09-15
DE69322671D1 (de) 1999-02-04
DE69322671T2 (de) 1999-06-17
SG49224A1 (en) 1998-05-18
EP0560439B1 (en) 1998-12-23
ATE175052T1 (de) 1999-01-15
KR930020627A (ko) 1993-10-20
US5407449A (en) 1995-04-18
TW228601B (ja) 1994-08-21
KR100278154B1 (ko) 2001-02-01

Similar Documents

Publication Publication Date Title
JPH0621197A (ja) マイクロ回路ウェファー加工装置
US6036582A (en) Polishing apparatus
US4986715A (en) Stock unit for storing carriers
JP3445937B2 (ja) 多段スピン型基板処理システム
EP1213746B1 (en) Single wafer type substrate cleaning method and apparatus
EP1303729B1 (en) Item storage and retrieval system
US5679059A (en) Polishing aparatus and method
JP2020088394A (ja) 基材を処理するための基材処理装置
JP3122868B2 (ja) 塗布装置
KR19980070919A (ko) 기판이송 및 처리시스템
KR19980063755A (ko) 기판처리장치
KR19980024375A (ko) 레지스트 처리시스템
TW201522692A (zh) 傳送腔室氣體淨化裝置、電子設備處理系統及淨化方法
KR20020089463A (ko) 모듈형 선별기
JPH10256346A (ja) カセット搬出入機構及び半導体製造装置
JPH022605A (ja) 自動化フォトリソグラフィック・ワーク・セル
KR102626528B1 (ko) 국소 퍼지 기능을 갖는 반송 장치
US20020137346A1 (en) Workpiece distribution and processing in a high throughput stacked frame
JPH04243741A (ja) ウエファ−移送方法と装置
JPH09320915A (ja) 処理装置
JP3638393B2 (ja) 基板処理装置
KR101817213B1 (ko) 기판 처리 장치
KR20200040380A (ko) 세정 지그 및 기판 처리 장치
KR102596506B1 (ko) 홈 포트 및 기판 처리 장치
US20230063639A1 (en) Heat treatment unit and substrate processing apparatus

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090314

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100314

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees