TW201522692A - 傳送腔室氣體淨化裝置、電子設備處理系統及淨化方法 - Google Patents

傳送腔室氣體淨化裝置、電子設備處理系統及淨化方法 Download PDF

Info

Publication number
TW201522692A
TW201522692A TW103133782A TW103133782A TW201522692A TW 201522692 A TW201522692 A TW 201522692A TW 103133782 A TW103133782 A TW 103133782A TW 103133782 A TW103133782 A TW 103133782A TW 201522692 A TW201522692 A TW 201522692A
Authority
TW
Taiwan
Prior art keywords
chamber
transfer
discrete
inlet
transfer chamber
Prior art date
Application number
TW103133782A
Other languages
English (en)
Other versions
TWI598455B (zh
Inventor
Edward Ng
Eric A Englhardt
Travis Morey
Ayan Majumdar
Steve S Hongkham
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201522692A publication Critical patent/TW201522692A/zh
Application granted granted Critical
Publication of TWI598455B publication Critical patent/TWI598455B/zh

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D1/00Pipe-line systems
    • F17D1/02Pipe-line systems for gases or vapours
    • F17D1/04Pipe-line systems for gases or vapours for distribution of gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/86292System with plural openings, one a gas vent or access opening

Abstract

茲揭示傳送腔室氣體淨化裝置。傳送腔室氣體淨化裝置具有傳送腔室,該傳送腔室適以含有至少一部分的傳輸機械手臂,傳輸腔室包括側壁、腔室蓋及腔室底面,其中腔室蓋具有複數個分散的腔室入口。複數個分散的腔室入口可包括擴散元件。可在基板上方提供層狀淨化氣體流。茲揭示包括複數個分散的腔室入口的系統及方法,及其他各種態樣。

Description

傳送腔室氣體淨化裝置、電子設備處理系統及淨化方法 【相關申請案】
本申請案主張美國臨時申請案,案號為61/884,637,於2013年9月30日申請,名稱為“TRANSFER CHAMBER GAS PURGE APPARATUS,SYSTEMS,AND METHODS”之優先權,此案在此藉由參考之方式併入作為所有目的之參考。
本發明係關於電子設備製造,且更特定而言係關於傳送腔室氣體供應裝置、系統、及其方法。
傳統電子設備製造系統可包括一或更多腔室,該等腔室適以執行任何數量的處理,例如脫氣、清潔及預先清潔、諸如化學氣相沉積(CVD)、物理氣相沉積(PVD)或原子層沉積之沉積、塗佈、氧化、硝化、蝕刻(例如,電漿蝕刻)或類似者。可提供一或更多負載鎖定腔室,使得基板能夠從工廠介面進入及離開。此等處理腔室及負載鎖定腔室之各者 可被包括於群集工具中,其中例如複數個處理腔室可分散在傳送腔室四周。傳送機械手臂可裝設在傳送腔室之中,以在一或更多終端作用器上傳輸基板進出各種處理腔室及負載鎖定腔室。傳統上,在傳送腔室及各個處理腔室及負載鎖定腔室之間提供狹縫閥開口。傳送機械手臂的一或更多終端作用器(例如,葉片)可通過狹縫閥開口,以放置或提取基板(例如,矽晶圓、玻璃板或類似的)進出處理腔室或負載鎖定腔室所提供的支撐件(例如,底座或舉升銷)。
一旦基板適當地佈置在處理腔室之中,狹縫閥可關閉,且可開始基板的處理。如處理的部分,因為系統中的移動部件,所以可形成顆粒。若此等微粒停在處理的基板上,此舉可影響基板的品質。為了最小化微粒,先前技術之系統已包括進入傳送腔室中機械手臂下方的氣體入口,以及亦在機械手臂下方離開傳送腔室的氣體出口,以完成傳送腔室的淨化。然而,此等系統一般而言為無效率的。
因此,改善的傳送腔室氣體流動裝置、系統及方法為所需的。
在一個實施例中,提供一種傳送腔室氣體淨化裝置。傳送腔室氣體淨化裝置包括適以含有至少部分的傳送機械手臂的傳送腔室,傳送腔室至少部分地藉由側壁、腔室蓋及腔室底面形成,腔室蓋具有複數個分散的腔室入口。
在另一態樣中,提供一種電子設備處理系統。電子設備處理系統包括至少部分地藉由主機外殼形成的傳送腔 室,該主機外殼具有側壁、腔室蓋及腔室底面、在腔室蓋中的複數個分散的腔室入口、以及在腔室底面中的複數個分散的腔室出口。
在另一態樣中,提供一種淨化傳送腔室之方法。此方法包括提供至少部分地藉由腔室蓋、側壁及腔室底面形成的傳送腔室,傳送腔室含有至少部分的機械手臂,適以傳輸基板進出由傳送腔室存取的腔室;及藉由淨化氣體的流入,從傳送腔室進行淨化,該淨化氣體透過腔室蓋中的複數個分散的入口流入。
根據本發明的此等及其他態樣提供各種其他特徵。本發明的實施例之其他特徵及態樣將從以下的詳細說明、隨附申請專利範圍及隨附圖式,而成為更加顯而易見的。
100‧‧‧電子設備處理系統
101‧‧‧傳送腔室氣體淨化裝置
102‧‧‧主機外殼
103‧‧‧傳送腔室
104‧‧‧側壁
106‧‧‧腔室蓋
107‧‧‧腔室底面
107A‧‧‧第一部分
107B‧‧‧第二部分
108A-108F‧‧‧處理腔室
109‧‧‧工廠介面
110A、110B‧‧‧負載鎖定腔室
111‧‧‧基板搬運器
112‧‧‧機械手臂
112E‧‧‧終端作用器
112M‧‧‧機械手臂馬達
113‧‧‧工廠介面機械手臂
114‧‧‧基板
115‧‧‧開口
116‧‧‧腔室入口
116P‧‧‧主要腔室入口
116S‧‧‧次要腔室入口
118‧‧‧淨化氣體供應組件
120‧‧‧淨化氣體源
122‧‧‧流體控制組件
123‧‧‧控制器
124‧‧‧進氣歧管
124P‧‧‧主要通路
124S‧‧‧次要通路
125‧‧‧傳送路徑
126‧‧‧腔室出口
127‧‧‧排出歧管
128‧‧‧檢視窗
129‧‧‧真空源
230‧‧‧擴散元件
231‧‧‧入口主體
232‧‧‧擴散腔室
234‧‧‧擴展區
336‧‧‧舉升銷
602-606‧‧‧步驟
第1A圖圖示根據實施例之包括傳送腔室氣體淨化裝置的電子設備處理系統之概要頂部視圖。
第1B圖圖示根據實施例之包括傳送腔室氣體淨化裝置的電子設備處理系統之部分剖面側視圖。
第2圖圖示根據實施例之包括擴散元件的腔室入口之部分剖面側視圖。
第3圖圖示根據實施例之傳送腔室氣體淨化裝置的腔室蓋之頂部視圖。
第4A圖係根據實施例之傳送腔室氣體淨化裝置的排出組件之底部平面視圖。
第4B圖係根據實施例之傳送腔室氣體淨化裝置的 排出組件之立體底部視圖。
第5圖圖示根據實施例之傳送腔室氣體淨化裝置之部分剖面立體視圖。
第6圖根據實施例,描述淨化傳送腔室的方法之流程圖。
現存的電子設備製造系統已在傳送腔室之中使用淨化,嘗試控制微粒。具體而言,先前技術的淨化系統已在傳送腔室的底面中包括入口及出口。儘管藉由此類型的傳送腔室淨化提供某些改善,仍需控制微粒的額外措施,以便進一步改善系統/工具產量。
為了解決此問題,本發明的實施例提供改善的傳送腔室氣體淨化裝置、系統及方法。在一個態樣中,提供改善的傳送腔室氣體淨化裝置。傳送腔室氣體淨化裝置對於淨化含有至少部分的傳送機械手臂之傳送腔室為實用的,其中傳送機械手臂係適以搬運一或更多基板。傳送腔室包括側壁、腔室蓋及腔室底面。腔室蓋之中具有複數個分散的腔室入口。
在一或更多實施例中,某些或所有的複數個分散的腔室入口可包括擴散構件,該等擴散構件適以且具有擴散入口淨化氣體流的功能。再者,複數個分散的腔室出口可包括在腔室底面中。在其他實施例中,複數個分散的腔室入口可包括主要腔室入口及次要腔室入口。在某些實施例中,此等主要腔室入口及次要腔室入口可獨立地控制。因此,特別在當傳送通過傳送腔室而定位的基板之傳送腔室的區域提供改 善的傳送腔室淨化。在某些實施例中,於基板的上方提供層狀淨化氣體流。
在另一態樣中,提供電子設備處理系統。電子設備處理系統包括傳送腔室,該傳送腔室適以含有至少部分的搬運基板之機械手臂,其中傳送腔室具有腔室蓋、側壁及腔室底面、提供於腔室蓋中的複數個分散的腔室入口、及提供於腔室底面的複數個分散的腔室出口。
圖示且敘述本發明的各種態樣之範例實施例之進一步細節,包括裝置、系統及方法態樣,係在此處參考第1A-6圖敘述。
第1A及1B圖分別圖示包括傳送腔室氣體淨化裝置101之電子設備處理系統100的範例實施例之頂部概要視圖及剖面側視圖。電子設備處理系統100可藉由授予其一或更多處理(例如,脫氣、清潔及預先清潔、諸如化學氣相沉積(CVD)、物理氣相沉積(PVD)或原子層沉積(ALD)之沉積、塗佈、氧化、硝化、蝕刻(例如,電漿蝕刻)或類似者)而適以處理基板(例如,含矽晶圓、板、盤或類似者)。其他處理亦可藉由電子設備處理系統100執行。
所描述的電子設備處理系統100包括具有傳送腔室103的主機外殼102,該傳送腔室103至少藉由其側壁104、腔室蓋106及腔室底面107形成。複數個處理腔室108A-108F及一或更多負載鎖定腔室110A、110B可機械耦合至主機外殼102。亦可包括其他數量的處理腔室及負載鎖定腔室。傳送腔室103包括機械手臂112,該機械手臂112配置成且適以將一 或更多基板114傳送進出耦合至主機外殼102的至少兩個腔室。至少兩個腔室可由機械手臂112存取,且至少部分的機械手臂112裝載於傳送腔室103中。如此處所使用,「傳送腔室」含有至少部分的機械手臂112(例如,移動手臂及附加的終端作用器112E),該機械手臂112適以傳輸一或更多基板114從傳送腔室103所存取的腔室(例如,處理腔室108A-108F)進出。電子設備處理系統100亦可包括工廠介面109,該工廠介面109具有與其對接的一或更多基板搬運器111。基板搬運器111適以在製造環境(例如,在工具之間)之中搬運一或更多基板114。在一或更多實施例中,工廠介面機械手臂113(以虛線方塊顯示)可包括在工廠介面109中,且可具有在基板搬運器111及一或更多負載鎖定腔室110A、110B之間傳送基板114的功能。
在描述的實施例中,機械手臂112可包括在傳送腔室103內的手臂112A、112B、112C;可在傳送腔室103外的一或更多機械手臂馬達112M;及可在其上放置且傳輸基板114的一或更多終端作用器112E。終端作用器112E可固定耦合在一起,或可獨立地致動。機械手臂112可為任何適合的構造,例如美國專利5,789,878、5,879,127、6,267,549、6,379,095、6,582,175及6,722,834;以及美國專利公開案2010/0178147、2013/0039726、2013/0149076、2013/0115028及2010/0178146之敘述。亦可使用其他適合的機械手臂。
機械手臂112可操作以傳輸基板114進出處理腔室108A-108F,且進出一或更多負載鎖定腔室110A、110B。在 各個情況中,係透過在主機外殼102中形成的開口115(例如,狹縫閥開口)進行傳送,該開口115通常為狹縫形狀的開口,而可具有狹縫閥(未顯示)與開口一起操作,以在基板114由機械手臂112已被放置於腔室中之後密封分別的腔室。在描述的實施例中,提供雙腔室,亦即肩並肩的腔室。然而,應理解傳送腔室氣體淨化裝置101可使用於其他傳送腔室配置,例如包括在七邊形、六邊形或八邊形之主機外殼或類似者中具有徑向存取的處理腔室之配置。亦可使用其他形狀的傳送腔室103。
如先前所討論,傳送腔室氣體淨化裝置101包括適以含有至少部分的機械手臂112之傳送腔室103,傳送腔室103至少部分地藉由側壁104、腔室蓋106及腔室底面107的互相連接而形成。在描述的實施例中,腔室蓋106之中具有複數個分散的腔室入口116,該複數個分散的腔室入口116可包括主要腔室入口116P及次要腔室入口116S。腔室入口116係耦合至淨化氣體供應組件118。淨化氣體供應組件118可包括諸如加壓的含有氣體容器之淨化氣體源120、可包含一或更多閥或質量流控制器而適以控制淨化氣體流的流體控制組件122、及控制器123。
淨化氣體供應組件118亦可包括進氣歧管124,該進氣歧管124可為耦合於流體控制組件122及複數個腔室入口116之間的氣體流通路(例如,導管)之集合。進氣歧管124可包括主要通路124P及次要通路124S。通過主要通路124P及次要通路124S的淨化氣體流可流體耦合至主要及次 要腔室入口116P、116S,使得流至主要腔室入口116P及次要腔室入口116S之淨化氣體流可藉由流體控制組件122獨立地控制。淨化氣體可包含惰性氣體,例如N2。亦可使用其他適合的淨化氣體。在某些實施例中,舉例而言,傳送腔室103可維持真空。
腔室蓋106可位於傳送腔室103的頂部處,且通常定位於終端作用器112E及被支撐的基板114之位準上方。在某些實施例中,腔室蓋106可為可移除地,且可連接至側壁104。
在描述的實施例中,於腔室蓋106上的複數個分散的腔室入口116包含位於傳送腔室103中的至少四個主要腔室入口116P。至少某些主要腔室入口116P可定位於當基板114離開分別的處理腔室108A-108F時,基板的傳送路徑上方。舉例而言,一或更多的主要腔室入口116P可定位於傳送路徑125上方。以此方式,淨化氣體可向下流動且越過基板114,在當基板114離開分別的處理腔室108A-108F時,以淨化氣體流覆蓋基板114。
類似地,主要腔室入口116P可定位於當基板從負載鎖定腔室110A、110B進入傳送腔室103時,一或更多基板114的負載鎖定傳送路徑125LL之上方。在第1A及1B圖中所顯示的雙傳送腔室配置中,複數個分散的腔室入口116之定位可供以在基板114從處理腔室108A-108F離開時提供改善的淨化氣體流。
傳送腔室氣體淨化裝置101的複數個分散的腔室入 口116可包括至少四個次要腔室入口116S。至少某些次要腔室入口116S可安排在分別的一個主要腔室入口116P之間。舉例而言,次要腔室入口116S可經安排以淨化在開口115之間定位的傳送腔室容積,或在開口之間對負載鎖定腔室110A、110B淨化。如所顯示,可在或接近傳送腔室103的物理中心處提供可選的主要或次要入口116C。在某些實施例中,可在腔室蓋106中形成複數個檢視窗128(僅標示幾個)。檢視窗128可包括清楚或半透明的面板,例如玻璃面板,且可允許檢視傳送腔室103之中的機械手臂112及其部件以及基板114。檢視窗128可安排在分別的主要及次要腔室入口116P、116S之間。
在一或更多實施例中,傳送腔室氣體淨化裝置101可包括複數個腔室出口126。複數個腔室出口126可從腔室底面107離開。然而,在某些實施例中,複數個腔室出口可從側壁104(例如,於側壁104的底部之處)離開。在描述的實施例中,複數個腔室出口126於基板114的下方位置從傳送腔室103的腔室底面107離開。複數個腔室出口126亦可耦合至排出歧管127,該排出歧管127適以流動淨化氣體離開傳送腔室103至諸如工廠排出之排出系統。排出歧管127可安排在機械手臂馬達112M周圍。在某些實施例中,諸如真空渦輪幫浦的一或更多真空源129可耦合至排出歧管127,以在淨化處理期間,於傳送腔室103中提供所欲的真空等級。在某些實施例中,一或更多複數個腔室出口126的位置可與一或更多複數個腔室入口116垂直地成行列定位(例如,見第1B 圖中的垂直虛線,連接主要腔室入口116P及腔室出口126)。舉例而言,在描述的實施例中,四個腔室入口116P直接垂直地位於四個腔室出口126上方。在其他實施例中,至少某些複數個腔室出口126可與一或更多複數個腔室入口116徑向(位於沿著相同的半徑上)成行列地定位。
在描述的實施例中,至少某些且較佳為所有的腔室入口116(例如,所顯示的腔室入口116P、116S、116C)可包括擴散元件230。包括擴散元件230的腔室入口116P之範例係顯示於第2圖中。擴散元件230可包含多孔構造,該多孔構造具有相較於耦合至腔室入口116P之進口歧管124的主要通路124P之剖面面積擴大的前表面面積。在描述的實施例中,擴散元件230可包含多孔金屬盤。腔室入口116P可包括至少部分形成擴散腔室232之入口主體231,該擴散腔室232從進口歧管124的主要通路124P接收淨化氣體,且擴大剖面面積,以使淨化氣體通過擴散元件230的入口側之擴大的入口面積。淨化氣體接著通過擴散元件230(例如,透過其開孔),且可通過至擴展區234中,該擴展區234可形成於腔室蓋106中且位於擴散元件230的下游。在擴展區234之中,淨化氣體流過渡至傳送腔室103中。舉例而言,擴展區234可包括一或更多截錐形區段或半徑。在描述的範例中,擴展區234包括具有不同錐角而彼此連接的截錐形區段。亦可使用其他擴大面積的過渡區結構。
在一或更多實施例中,複數個分散的腔室入口116可包括主要腔室入口116P及次要腔室入口116S,該等主要腔 室入口116P及次要腔室入口116S在分別至傳送腔室103的進口處具有不同的流動面積(見第1B圖)。具體而言,在某些實施例中,主要腔室入口116P的面積可比次要腔室入口116S的面積更大。舉例而言,主要腔室入口116P及次要腔室入口116S之各者的面積可介於約10cm2及約100cm2之間。然而,亦可使用其他尺寸。再者,在某些實施例中,次要腔室入口116S之尺寸可等於主要腔室入口116P。
如傳統上,基板114可透過開口115(例如,狹縫閥開口)提供至處理腔室108A-108F且從處理腔室108A-108F抽取出。可藉由連接於腔室出口126下方的一或更多真空源129(例如,一或更多渦輪幫浦)之操作,而在傳送腔室103中提供一般等級的抽真空。
傳送腔室氣體淨化裝置101之操作可透過控制訊號調整,該等控制訊號從控制器123至流體控制組件122,以在基板114上方提供層狀氣體流動模式。氣體流動調整可藉由調整淨化氣體從淨化氣體源120至複數個腔室入口116之流率而作成。具體而言,淨化氣體流動調整可藉由調整流體控制組件122而作成。流體控制組件122可包含一或更多閥、質量流體控制器(MFC)、或其他適合的氣體流動調整器。具體而言,提供至主要及次要腔室入口116P、116S之淨化氣體流,例如可藉由控制流體控制組件122的流體控制構造(閥、MFC或類似者),而加以獨立地控制。
第3圖圖示腔室蓋106之範例的頂部視圖,該腔室蓋106具有與其耦合的進口歧管124及流體控制組件122。腔 室蓋106可從主機外殼102移除,且透過緊固件固定至主機外殼102。在腔室蓋106及主機外殼102之間的密封可藉由適合的O形環或其他密封構造提供。在某些實施例中,腔室蓋106可為樞軸且藉由舉升銷336抬昇。
第4A及4B圖圖示腔室底面107及連接至腔室底面107之部分的傳送腔室氣體淨化裝置101之範例實施例。在描述的實施例中,腔室底面107包括第一部分107A及與第一部分107A耦合的第二部分107B。第一部分107A經配置且適以耦合至主機外殼102的底部。排出歧管127顯示為耦合至分別的腔室出口126,該等腔室出口126係包括在腔室底面107的第二部分107B中。
第5圖圖示傳送腔室氣體淨化裝置101之實施例的部分剖面立體視圖。傳送腔室氣體淨化裝置101包括具有複數個腔室入口116且提供淨化氣體至傳送腔室103的腔室蓋106,以及具有複數個腔室出口126(第5圖中僅顯示一個)且適以從傳送腔室103排出淨化氣體的腔室底面107。腔室入口116可在基板114上方提供進入傳送腔室103的氣體淨化流。淨化氣體流可為介於基板114及腔室蓋106之間的層狀。
提供且參考第6圖說明根據本發明之一或更多實施例的淨化傳送腔室(例如,傳送腔室103)之方法600。在602中,方法600包括提供傳送腔室(例如,傳送腔室103),該傳送腔室至少部分地藉由腔室蓋(例如,腔室蓋106)、側壁(例如,側壁104)及腔室底面(例如,107)形成。傳送腔室含有至少部分的機械手臂(例如,機械手臂112),適以傳 輸基板(例如,基板114)進出由傳送腔室存取的腔室(例如,任何一或更多處理腔室108A-108F或負載鎖定腔室110A、110B)。
在604中,方法600包括藉由淨化氣體的流入,從傳送腔室(例如,傳送腔室103)進行淨化,該淨化氣體透過腔室蓋(例如,腔室蓋106)中的複數個分散的入口(例如,複數個分散的腔室入口116)流入。
在一或更多實施例中,於606中,淨化之步驟可進一步包含將淨化氣體透過在腔室底面(例如,腔室底面107)所提供的複數個分散的腔室出口(例如,複數個分散的腔室出口126)而排出。在某些實施例中,從傳送腔室淨化的步驟可進一步包含透過複數個擴散元件(例如,擴散元件230)流入淨化氣體。在某些實施例中,從傳送腔室103淨化的步驟可進一步包含在基板114上方提供淨化氣體的實質上層狀流。為了達成在基板上方淨化氣體流之實質上層狀淨化氣體流的淨化氣體流之條件,可藉由調整流體控制組件122與腔室蓋106中的複數個腔室入口116流體耦合而獲得。淨化氣體流可額外地藉由對複數個分散的腔室入口116提供主要腔室入口(例如,主要腔室入口116P)及次要腔室入口(例如,次要腔室入口116S),且獨立地控制淨化氣體至主要腔室入口116P及次要腔室入口116S之流動來進行控制。舉例而言,可對主要腔室入口(例如,主要入口116P)提供相對更多的淨化氣體流,且對次要腔室入口(例如,次要腔室入口116S)提供相對少的流動。再者,可在某些實施例中作成淨化氣體 流的調整,以均勻化傳送腔室(例如,傳送腔室103)之中的流動模式。
以上的說明書僅揭露本發明之範例實施例。落入本發明之範疇之中,對以上所揭露之裝置、系統及方法之修改將對技藝人士而言為顯而易見的。因此,儘管本發明已揭露範例實施例,應瞭解如藉由以下申請專利範圍所界定,其他實施例可落入本發明之範疇之中。
100‧‧‧電子設備處理系統
101‧‧‧傳送腔室氣體淨化裝置
102‧‧‧主機外殼
103‧‧‧傳送腔室
104‧‧‧側壁
106‧‧‧腔室蓋
107‧‧‧腔室底面
108A-108F‧‧‧處理腔室
112‧‧‧機械手臂
112E‧‧‧終端作用器
112M‧‧‧機械手臂馬達
114‧‧‧基板
115‧‧‧開口
116‧‧‧腔室入口
116P‧‧‧主要腔室入口
116S‧‧‧次要腔室入口
118‧‧‧淨化氣體供應組件
120‧‧‧淨化氣體源
122‧‧‧流體控制組件
123‧‧‧控制器
124‧‧‧進氣歧管
124P‧‧‧主要通路
124S‧‧‧次要通路
126‧‧‧腔室出口
127‧‧‧排出歧管
128‧‧‧檢視窗
129‧‧‧真空源

Claims (20)

  1. 一種傳送腔室氣體淨化裝置,包含:一傳送腔室,該傳送腔室適以容納至少一部分的一傳送機械手臂,該傳送腔室至少部分地藉由側壁、一腔室蓋及一腔室底面形成,該腔室蓋具有複數個分散的腔室入口。
  2. 如請求項1所述之傳送腔室氣體淨化裝置,其中該複數個分散的腔室入口包含主要腔室入口及次要腔室入口,其中該等主要腔室入口及該等次要腔室入口可獨立地控制。
  3. 如請求項2所述之傳送腔室氣體淨化裝置,其中該斗主要腔室入口及該等次要腔室入口係耦合至一流體控制組件。
  4. 如請求項1所述之傳送腔室氣體淨化裝置,其中該複數個分散的腔室入口包含至少四個主要腔室入口。
  5. 如請求項1所述之傳送腔室氣體淨化裝置,包含一處理腔室,該處理腔室耦合至該傳送腔室,其中該複數個分散的腔室入口包含主要腔室入口,該等主要腔室入口定位在隨著一基板離開該處理腔室之該基板的一路徑上方。
  6. 如請求項1所述之傳送腔室氣體淨化裝置,其中該複數個分散的腔室入口包含至少四個次要腔室入口。
  7. 如請求項1所述之傳送腔室氣體淨化裝置,包含複數個檢視窗,該複數個檢視窗形成在該腔室蓋中。
  8. 如請求項1所述之傳送腔室氣體淨化裝置,其中至少某些該複數個分散的腔室入口包含一擴散元件。
  9. 如請求項8所述之傳送腔室氣體淨化裝置,其中該擴散元件包含一多孔構件。
  10. 如請求項8所述之傳送腔室氣體淨化裝置,其中該擴散元件包含一多孔金屬盤。
  11. 如請求項1所述之傳送腔室氣體淨化裝置,其中該複數個分散的腔室入口包含主要腔室入口及次要腔室入口,該等主要腔室入口及該等次要腔室入口具有於分別進入該傳送腔室之進口處不同的入口流動面積。
  12. 如請求項1所述之傳送腔室氣體淨化裝置,包含複數個分散的腔室出口,該複數個分散的腔室出口提供於該腔室底面中。
  13. 如請求項1所述之傳送腔室氣體淨化裝置,包含複數個分散的腔室出口,該複數個分散的腔室出口提供於該腔室底 面中,其中至少某些該複數個分散的腔室出口係與至少某些該複數個分散的腔室入口垂直對齊。
  14. 一種電子設備處理系統,包含:一傳送腔室,該傳送腔室至少部分地藉由一主機外殼形成,該主機外殼具有側壁、一腔室蓋及一腔室底面;複數個分散的腔室入口,該複數個分散的腔室入口在該腔室蓋中;及複數個分散的腔室出口,該複數個分散的腔室出口在該腔室底面中。
  15. 如請求項14所述之電子設備處理系統,其中該傳送腔室含有一機械手臂,該機械手臂適以傳送基板進出耦合至該主機外殼的至少兩個腔室。
  16. 一種淨化一傳送腔室之方法,包含以下步驟:提供一傳送腔室,該傳送腔室至少部分地藉由一腔室蓋、側壁及一腔室底面形成,該傳送腔室含有至少一部分的一機械手臂,該機械手臂適以傳輸一基板進出由該傳送腔室存取的腔室;及藉由一淨化氣體之流入,從該傳送腔室進行淨化,該淨化氣體之流入係透過在該腔室蓋中的複數個分散的入口。
  17. 如請求項16所述之方法,其中該淨化步驟進一步包含以下步驟:透過該底面中複數個分散的腔室出口排出該淨化氣體。
  18. 如請求項16所述之方法,其中從該傳送腔室進行淨化之步驟進一步包含以下步驟:將該淨化氣體透過複數個擴散元件流入。
  19. 如請求項16所述之方法,其中從該傳送腔室進行淨化之步驟進一步包含以下步驟:在該基板上提供該淨化氣體的一實質上層狀流。
  20. 如請求項16所述之方法,包含以下步驟:提供具有主要腔室入口及次要腔室入口之該複數個分散的腔室入口;及對該等主要腔室入口及該等次要腔室入口獨立地控制該淨化氣體之流動。
TW103133782A 2013-09-30 2014-09-29 傳送腔室氣體淨化裝置、電子設備處理系統及淨化方法 TWI598455B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361884637P 2013-09-30 2013-09-30

Publications (2)

Publication Number Publication Date
TW201522692A true TW201522692A (zh) 2015-06-16
TWI598455B TWI598455B (zh) 2017-09-11

Family

ID=52738916

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103133782A TWI598455B (zh) 2013-09-30 2014-09-29 傳送腔室氣體淨化裝置、電子設備處理系統及淨化方法

Country Status (6)

Country Link
US (1) US9441792B2 (zh)
JP (1) JP6573892B2 (zh)
KR (1) KR101770970B1 (zh)
CN (1) CN105580107B (zh)
TW (1) TWI598455B (zh)
WO (1) WO2015048470A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105706227B (zh) 2013-11-04 2019-11-26 应用材料公司 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法
WO2016172003A1 (en) 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US10520371B2 (en) 2015-10-22 2019-12-31 Applied Materials, Inc. Optical fiber temperature sensors, temperature monitoring apparatus, and manufacturing methods
KR20170048787A (ko) * 2015-10-27 2017-05-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN109478494B (zh) * 2016-06-03 2023-07-18 应用材料公司 扩散腔室内部的气流的设计
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10684159B2 (en) 2016-06-27 2020-06-16 Applied Materials, Inc. Methods, systems, and apparatus for mass flow verification based on choked flow
JP6948394B2 (ja) * 2016-12-02 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄膜封止処理システムおよびプロセスキット
US20180185893A1 (en) * 2016-12-31 2018-07-05 Applied Materials, Inc. Systems, methods, and apparatus for transfer chamber gas purge of electronic device processing systems
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
CN111344853A (zh) * 2017-08-18 2020-06-26 东京毅力科创美国制造与工程公司 用于喷射低温流体的装置
DE102018107547A1 (de) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Vorrichtung zur behandlung von substraten oder waferen
US11948810B2 (en) * 2017-11-15 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for processing substrates or wafers
CN110838461B (zh) * 2018-08-16 2023-09-08 细美事有限公司 净化处理装置及净化处理方法
EP3912688A1 (de) * 2020-05-19 2021-11-24 L'air Liquide, Société Anonyme Pour L'Étude Et L'exploitation Des Procédés Georges Claude Sichere inertisierungsvorrichtung
USD973737S1 (en) * 2020-11-17 2022-12-27 Applied Materials, Inc. Mainframe of substrate processing system
USD973116S1 (en) 2020-11-17 2022-12-20 Applied Materials, Inc. Mainframe of substrate processing system

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4540326A (en) * 1982-09-17 1985-09-10 Nacom Industries, Inc. Semiconductor wafer transport system
JPH02138420U (zh) * 1989-04-21 1990-11-19
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5211733A (en) * 1990-11-16 1993-05-18 Mitsubishi Kasei Corporation Method for producing a high-purity silica glass powder
US5407350A (en) * 1992-02-13 1995-04-18 Tokyo Electron Limited Heat-treatment apparatus
JP3176118B2 (ja) * 1992-03-27 2001-06-11 株式会社東芝 多室型基板処理装置
US5433780A (en) * 1992-11-20 1995-07-18 Tokyo Electron Limited Vacuum processing apparatus and exhaust system that prevents particle contamination
JPH07230959A (ja) * 1994-02-17 1995-08-29 Tokyo Electron Ltd 被処理体近傍空間の気流の制御方法及び減圧装置
US5789878A (en) 1996-07-15 1998-08-04 Applied Materials, Inc. Dual plane robot
US6575737B1 (en) 1997-06-04 2003-06-10 Applied Materials, Inc. Method and apparatus for improved substrate handling
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US6722834B1 (en) 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
JPH11145241A (ja) * 1997-11-06 1999-05-28 Toshiba Corp マルチチャンバシステムおよび基板検出方法
US6267549B1 (en) 1998-06-02 2001-07-31 Applied Materials, Inc. Dual independent robot blades with minimal offset
US6379095B1 (en) 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
US6582175B2 (en) 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
WO2001096972A2 (en) 2000-06-14 2001-12-20 Applied Materials, Inc. Methods and apparatus for maintaining a pressure within an environmentally controlled chamber
CN100435269C (zh) 2001-07-15 2008-11-19 应用材料有限公司 处理系统
KR100486690B1 (ko) * 2002-11-29 2005-05-03 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
KR100621804B1 (ko) 2004-09-22 2006-09-19 삼성전자주식회사 디퓨저 및 그를 구비한 반도체 제조설비
JP2006216710A (ja) * 2005-02-02 2006-08-17 Hitachi High-Technologies Corp 半導体製造装置
KR20060135447A (ko) * 2005-06-25 2006-12-29 삼성전자주식회사 트랜스퍼 챔버에 디퓨저를 구비한 반도체 식각 장치
KR101147908B1 (ko) * 2005-08-29 2012-05-25 주성엔지니어링(주) 월라이너를 포함하는 기판제조장치
JP5224567B2 (ja) * 2005-11-21 2013-07-03 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
CN103021908B (zh) 2005-12-20 2015-09-30 应用材料公司 用于半导体设备制造装备的延伸主机设计
KR100807031B1 (ko) * 2006-08-24 2008-02-25 동부일렉트로닉스 주식회사 반도체 제조장치의 챔버용 퍼지 시스템
WO2009055507A1 (en) 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
US8777547B2 (en) 2009-01-11 2014-07-15 Applied Materials, Inc. Systems, apparatus and methods for transporting substrates
US8784033B2 (en) 2009-01-11 2014-07-22 Applied Materials, Inc. Robot systems, apparatus and methods for transporting substrates
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
KR101249999B1 (ko) * 2010-08-12 2013-04-03 주식회사 디엠에스 화학기상증착 장치
US9076829B2 (en) 2011-08-08 2015-07-07 Applied Materials, Inc. Robot systems, apparatus, and methods adapted to transport substrates in electronic device manufacturing
JP2013069818A (ja) * 2011-09-21 2013-04-18 Sharp Corp 気相成長装置および結晶膜の形成方法
US9076830B2 (en) 2011-11-03 2015-07-07 Applied Materials, Inc. Robot systems and apparatus adapted to transport dual substrates in electronic device manufacturing with wrist drive motors mounted to upper arm
WO2013090181A1 (en) 2011-12-12 2013-06-20 Applied Materials, Inc Fully-independent robot systems, apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
US20140273487A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
US9355876B2 (en) 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US9524889B2 (en) 2013-03-15 2016-12-20 Applied Materials, Inc. Processing systems and apparatus adapted to process substrates in electronic device manufacturing

Also Published As

Publication number Publication date
CN105580107A (zh) 2016-05-11
TWI598455B (zh) 2017-09-11
JP2016535940A (ja) 2016-11-17
CN105580107B (zh) 2019-02-19
KR20160067212A (ko) 2016-06-13
KR101770970B1 (ko) 2017-08-24
JP6573892B2 (ja) 2019-09-11
WO2015048470A1 (en) 2015-04-02
US9441792B2 (en) 2016-09-13
US20150090341A1 (en) 2015-04-02

Similar Documents

Publication Publication Date Title
TWI598455B (zh) 傳送腔室氣體淨化裝置、電子設備處理系統及淨化方法
TWI702306B (zh) 多區反應器,包含該反應器的系統及使用該反應器的方法
US10741365B2 (en) Low volume showerhead with porous baffle
US7287920B2 (en) Semiconductor manufacturing apparatus and method
JP6753866B2 (ja) ロードロック装置、冷却プレートアセンブリ、並びに電子デバイス処理システム及び方法
JP3445937B2 (ja) 多段スピン型基板処理システム
JP4560575B2 (ja) 基板処理装置及び半導体装置の製造方法
JP4634495B2 (ja) 基板処理装置及び半導体装置の製造方法
US20030213560A1 (en) Tandem wafer processing system and process
KR20140148434A (ko) 플라즈마 프로세싱 시스템들에서의 공유형 가스 패널들
US8794896B2 (en) Vacuum processing apparatus and zonal airflow generating unit
TW202006179A (zh) 用於改良式泵吹洗及前驅物輸送之氣體分配組件
US20180185893A1 (en) Systems, methods, and apparatus for transfer chamber gas purge of electronic device processing systems
TW201336604A (zh) 用以處理晶圓狀物體之裝置及方法
US10119191B2 (en) High flow gas diffuser assemblies, systems, and methods
US20170053781A1 (en) Multi-Station Chamber Having Symmetric Grounding Plate
KR101884860B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR20180036851A (ko) 기판 처리 장치 및 기판 처리 방법
CN108231625B (zh) 基板处理装置和基板处理方法
KR102570523B1 (ko) 기판 처리 장치
US20230257875A1 (en) Deflector for chamber cleaning
JP2009224457A (ja) 基板処理装置
KR20170020022A (ko) 기판 표면을 선택적으로 에칭하기 위한 기판 처리 방법
KR20220088551A (ko) 지지 유닛 및 기판 처리 장치
TW202405238A (zh) 在環境受控的製程腔室中對半導體晶圓進行固化的方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees