CN100435269C - 处理系统 - Google Patents

处理系统 Download PDF

Info

Publication number
CN100435269C
CN100435269C CNB02814161XA CN02814161A CN100435269C CN 100435269 C CN100435269 C CN 100435269C CN B02814161X A CNB02814161X A CN B02814161XA CN 02814161 A CN02814161 A CN 02814161A CN 100435269 C CN100435269 C CN 100435269C
Authority
CN
China
Prior art keywords
blade
substrate
light beam
substrate carrier
transducer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB02814161XA
Other languages
English (en)
Other versions
CN1613137A (zh
Inventor
埃弗雷恩·奎莱斯
梅兰·贝德贾特
罗伯特·B·洛伦斯
迈克尔·R·赖斯
布伦特·沃帕特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1613137A publication Critical patent/CN1613137A/zh
Application granted granted Critical
Publication of CN100435269C publication Critical patent/CN100435269C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F24HEATING; RANGES; VENTILATING
    • F24FAIR-CONDITIONING; AIR-HUMIDIFICATION; VENTILATION; USE OF AIR CURRENTS FOR SCREENING
    • F24F9/00Use of air currents for screening, e.g. air curtains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes

Abstract

在第一种情况中,提供一第一基片处理系统,它包括:(1)一具有许多开口的室,基片可经过该开口被运输;(2)一结合至许多开口的第一个上的基片载体开启器;(3)一结合至许多开口的第二个上的热处理室;以及(4)一包含在室中的晶片搬运器,它具有一基片夹紧叶片和一用于运输高温基片的叶片。还提供了许多其它情况,以及按照这些和其它情况的方法和计算机程序产品。

Description

处理系统
本申请要求优先于美国临时性专利申请系列No.60/305679,它在2001年7月15日登记,标题为“处理系统”(Attorney DocketNo.6022/L),它在此处全部被结合,以作为参考。
背景
在基片处理的领域中,基片搬运速度和可靠性的改进可以转变成相当大的成本节约和改善的基片品质。同样,减少足迹(即由处理系统占据的投影地面空间)和/或减少设备费用和复杂性可造成减少每个经过处理的基片的成本。因此,希望有一种基片处理系统,它能提高生产率,减少设备费用和复杂性和/或减少基片暴露在颗粒中的可能性。
概要
提供一种本发明的同时转移热的和冷的基片的基片处理系统,同时提供一种本发明的在系统中转移基片和处理基片的方法。还采用了本发明的设备和方法,它用于在基片搬运叶片上感知基片,用于用一通风阀组件阻止有毒的处理气体进入周围环境,和/或用于在一转移室中冷却基片。每个这种设备和方法都可以与本发明的处理系统或与其它的处理系统和方法一起使用,这将从下面提供的图与说明变得明白。
更具体一些,在本发明的第一种情况中,提供一第一基片处理系统,它包括:(1)一具有许多开口的室,基片可经过该开口被运输;(2)一结合至许多开口的第一个上的基片载体开启器;(3)一结合至许多开口的第二个上的热处理室;以及(4)一包含在室中的晶片搬运器,它具有一基片夹紧叶片和一用于运输高温基片的叶片。
在本发明的第二种情况中,提供一第二基片处理系统,它包括:(1)一具有许多开口的室,基片经过该开口被运输;以及(2)一包含在室中的晶片搬运器,它具有一基片夹紧叶片和一用于运输高温基片的叶片。
在本发明的第三种情况中,提供一基片搬运器,它包括:(1)一基片夹紧叶片;以及(2)一用于运输高温基片的叶片。
在本发明的第四种情况中,提供一阀组件它用于在一室中密封一开口。该阀组件包括一壳体,该壳体有一在第一侧的第一开口和一门槛部分。该壳体用于结合至一在其中有一开口的室表面上,以使一基片可以经过第一开口和室开口被转移,以及,门槛部分位于第一开口和室开口之间。该门槛部分有一个或更多的入口,以用于供应跨越室开口的气帘。阀组件进一步包括一位于壳体内的密封表面,以有选择地(1)密封室开口;和(2)从室开口缩回,以便不阻塞从其经过的基片通道。还提供了许多其它情况,诸如按照本发明的这些和其它情况的方法和计算机程序产品。
本发明的其它特色和情况将从下列详细说明、所附权利要求书和附图变得完全清楚。
图1为本发明的系统的示例性情况的俯视平面图;
图2为本发明的基片的示例性情况的示意立面侧视图;
图3A为具有结合至其上的基片传感器的夹紧基片搬运器叶片的俯视平面图;
图3B为具有结合至其上的基片传感器的热基片搬运器叶片的俯视透视图;
图3C为图2的基片搬运器的俯视平面图;
图4A-4E为可能在图1的系统中采用的冷却平台的视图;以及
图5为可能在图1的系统中采用的阀组件的分解等角侧视图。
详细说明
图1为本发明的处理系统11的俯视平面图。该处理系统11包括一具有许多开口15a-15d的室13,基片可经过该开口转移。每个开口15a-15d最好位于相同的标高(此处称为晶片交换标高)上。在所示实施例中,开口15a-15b位于室13的第一侧,而开口15c-15d则位于室13的第二侧。用于打开密封的晶片载体的站17a(即吊舱打开站17a)结合至开口15a上,而吊舱打开站17b则结合至开口15b上,吊舱打开站在本技术中是众所周知的,一示例性吊舱打开站在于2000年7月4日发行的美国专利No.6082951中作了详细说明,其整个公开内容在此处被结合,以作为参考。
结合至开口15c-15d上的是处理室19a-19d,诸如可在市场上得到的由加州的Santa Clara的Applied Materials公司制造的RADIANCETM室,或其它任何能将基片提高至例如70℃以上的温度和最好至600℃左右的室。
在室13中可包含一冷却站21(例如结合至与基片搬运器相同的基准板上并处于比室开口高的标高上的冷却站),它可包括一个或更多的平台,该平台设计成如同在本技术中已经知道的那样支承并冷却一基片。本发明的冷却站示于图4A-4E中,并参考该图描述于下。
在室13中还可包含一轨道23,它延伸一足够长的距离,以使结合成沿其上行走的基片搬运器可以向或从吊舱打开站17a-17b、处理室19a-19b或冷却站21中的任一个拾取或放置基片。如此安装,以便能沿轨道23行走的为一基片搬运器(图2),它同时有两个叶片,一个叶片如图3A所示,用于将基片在其上夹持在应有的位置上(即夹紧叶片),一个叶片如图3B所示,用于运输一热的基片,例如一具有超过70℃的温度的基片,而在一个实施例中,基片有大致为600℃或更高的温度(即热叶片29)。基片搬运器25还可包括一对垂直堆垛的可独立伸出的臂30a-30b,每个臂如图2的立面侧视图所示,有结合至其上的夹紧叶片27和热叶片29。
除去本发明的热叶片29,基片搬运器25可以是在市场上得到的由日本的Yaskawa公司制造的机器人。例如,基片搬运器25可采用一中间箱32(图2),它绕至少180度旋转,并有两个结合至其上的可独立伸出的机器人臂(例如图3C中的臂30a-30b)。这样,当箱32上升、下降或旋转时,两个臂也与其一起上升、下降或旋转。两个臂沿垂直方向错开(图2),以使结合至一个臂上的叶片(例如热叶片29)位于结合至另一臂上的叶片(例如夹紧叶片27)的上面(而足迹则大致相同)。为了从一开口插入和取出一基片,中间箱32上升,将正确的叶片放置在开口的平面中。可以采用具有可接受的有效半径的其它机器人结构,而不用轨道。也可以在室13中包含两个机器人,从而可以省去轨道。
两个可独立伸出和收缩的臂30a-30b和结合至其上的夹紧叶片27和热叶片29允许处理系统11比用传统的热处理系统更能有效地转移基片。因为夹紧叶片27包括一用于夹紧基片的机构,故夹紧叶片27比不包括夹紧机构的叶片更快地运输基片。虽然可以采用其它夹紧机构,但在图3A的俯视平面图中示出了一个示例性夹紧机构。该夹紧机构包括一柱塞31和一对边缘挡块33(例如在至少一个实施例中用一高温材料如VESPEL或PEEK形成)。在操作时,在夹紧叶片27已经完成一个使夹紧叶片27从一吊舱(例如位于一个吊舱打开站17a-17b的吊舱)提升一基片(未示出)的编程的运动顺序时,处理室19a-19b或冷却站21、控制器C使柱塞31逐渐向前移动至一位置,在该处,基片被牢固地保持在边缘挡块33与柱塞31之间。柱塞31可以设计成与一光检测器(在下面说明)相互作用,从而检测出基片是否已经被正确地夹紧。
在图3A的例子中,柱塞31有一开口,它要如此放置,以使只在柱塞31处于它与一正确放置的基片的边缘接触时,由一发射器37发射的光束L1才穿过开口35(并且碰上光传感器39)。在所有其它位置,柱塞31的实体部分阻塞光束L1。这样,当柱塞31处于其缩回位置(在基片放置在夹紧叶片27上之前)以及当柱塞31已经伸出,越过它应当与正确放置的基片的边缘接触的位置时,都不能检测到光束。
一结合至光传感器39上的控制器(例如图3A中的控制器C)可发出一未夹紧的信号,并在柱塞31伸出,越过正确的基片夹紧位置时,防止夹紧叶片移动。这样,夹紧叶片27可允许较快的基片转移,并可同时允许处理系统11更便宜,因为只需要较少量的传感器安装在其中。发射器37和光传感器39可包括任何传统的光源和检测器。
与传统的系统相比,处理系统11的费用可如图3B的俯视图所示的那样,进一步通过在热叶片29上结合一传感器而降低。如图所示,热叶片29有一支承架部分29a和一叶片部分29b。该热叶片29有一光发射器41和一光检测器43,它们(通过使用高温光纤电缆44a、44b和光纤支承架44c、44d)如此放置,以使一正确放置的基片S阻塞在其间行走的光束L2。为了持续与热基片的接触,热叶片25可以由石英或类似的高温材料组成。为了防止来自发射器41的光结合至石英叶片29上,并防止它经过石英行走至光检测器43(如箭头45所示),热叶片29的与发射器41相邻的部分和与检测器43相邻的部分可以涂以不折射的涂层如碳化硅。例如,发射器和/或检测器可以围以金属,以阻止错误的反射检测,而光束增益阈值可以调节成能补偿反射和折射(例如经由一合适的放大器)。因此,当检测器43没有接受到由发射器41发出的光束L2时,热叶片29检测到,基片已经正确地放置在热叶片29上;当检测到来自光束L2的光信号时,则没有基片或基片未正确地放置。控制器C(图3A)可以在基片预计已经正确放置在热叶片29上并且光束没有中断时防止热叶片29移动。
在至少一个实施例中,热叶片29的支承架部分29a可包括一不锈钢或石英/金属支承架,而叶片部分29b则可以包括石英(经由一石英/金属板29c结合)。也可以用其它材料。
因为夹紧叶片27和热叶片29都有用于在基片正确放置在夹紧叶片27或热叶片29上时(例如两个叶片都在叶片传感器上采用一体做成的晶片)感知的传感器,故不需要固定的“基片在叶片上”的传感器。因为基片搬运器25同时包括夹紧叶片和热叶片,故可以从下面提供的操作说明理解到,可以得到相当大的生产率好处。
在操作时,一基片运载吊舱放在吊舱打开站17a上并打开吊舱的吊舱门。基片搬运器25沿轨道23行走至与吊舱打开站17a有联系的开口15a的前面的某个位置。假设夹紧叶片27位于晶片更换的标高,基片搬运器的可伸出的臂30b载着夹紧叶片27穿过开口15a伸入吊舱打开站17a,以使夹紧叶片27位于第一基片的下面。以后,基片搬运器25就稍微上升,以使夹紧叶片27从吊舱的内支承提升第一基片。控制器C辨认出基片已经在夹紧叶片27的某个位置上,于是柱塞31(图3A)就动作,以便缓慢地朝边缘挡块33移动,柔和地向前推基片,以使基片被保持在柱塞31和边缘挡块33之间的应用位置上。
如同在前面已经描述的那样,夹紧叶片传感器(例如光发射器37和光传感器39)可以感知到,基片已经正确地被夹紧。如果基片被确定已经正确地被夹紧,则可伸出的臂30b缩回,基片搬运器25转动夹紧叶片27,使其面向开口15e(图1)并沿轨道23行走,以将夹紧叶片27放置在开口15a的前面(如果有必要的话)。开口15c打开,可伸出的臂30b载着第一基片伸入包含在处理室19a中的晶片支承(未示出)的上方的某个位置。所有上述基片运输步骤都可以以较高的速度进行,因为基片被夹紧在夹紧叶片27上。
一旦位于处理室19a中,柱塞31(图3A)就缩回,而第一基片就通过一包含在处理室19a中的提升机构(未示出)和/或通过下降夹紧叶片27从夹紧叶片27被升举,以便将第一基片转移至许多支承销或其它支承结构(未示出)上。此后,用夹紧叶片27重复上述顺序,将第二基片放置在处理室19b中。一旦完成第一基片的热处理,就立刻将热叶片29放置在晶片更换的标高上,打开开口15c,可伸出的臂30a伸出,热叶片29取回第一热基片(例如从支承销升举第一热基片)。此后,热叶片29缩回,基片搬运器25上升至一夹紧叶片27处于晶片更换的标高的位置。以后,夹紧叶片27就载着第三基片伸入处理室19a。这样,不仅能经由单个的基片搬运器进行热(经过处理的)/冷(未经处理的)基片的更换,而且还能不间断地进行更换(即不要求基片搬运器25行走至另一地点,放置经过处理的基片,和拾取未经处理的基片)。此后,第一热基片可以被运载至一冷却站21的支承架(未示出),并且被转移至支承架上(例如经由支承架的提升机构和/或经由热叶片29的下降),一旦放在支承架上,第一基片就冷却(例如空气冷却,或经由如图4A-4E的冷却板)。
此后,基片搬运器25可使用热叶片29,将经过处理的第二热基片从处理室19b取回,并将第二基片运输至冷却站21,以便冷却。如果第一基片已经冷却足够长的时间,夹紧叶片27就可以将冷却的第一基片从冷却站21取回,并且快速地将第一基片返回至吊舱打开站17a。
如同已经从上面明白的那样,本发明的处理系统11能够通过使用夹紧叶片,以在基片不热时运输它们而提高生产率。还有,因为夹紧叶片27和热叶片29都有鉴别基片的正确夹紧或定位的基片传感器,故处理系统11可以免去在叶片传感器(例如不位于叶片上的传感器)上固定基片的额外费用,要不然,该传感器将在处理系统11中位于不同的位置上(例如在每个进行基片更换的地点的前面)。
本发明的处理系统11还可采用其它特色,以进一步加强操作。例如,夹紧叶片27和/或热叶片29可以有一个或更多的装在每个叶片的前端上并指向前面(朝着叶片行走的方向)的传感器。该传感器可在叶片走入位于给定的槽或地点的下面的某个位置之前,检测到基片已经在给定的槽或地点中。这些传感器都例如设置在像那些由日本的Yaskawa公司制造的基片搬运器上。
图4A为图1的冷却站的第一示例性实施例的透视图。冷却站21包括许多冷却平台102a-102c,每个平台都如下所述,构形成冷却一半导体晶片。虽然在图4A中示出了三个冷却平台102d-102c,但是应当明白,冷却站21可以包括更少的或更多的冷却平台。图4B为图4A的冷却平台的透视图,它示出顶部的冷却平台102a的内部冷却结构(在下面说明)。
每个冷却平台102a-102c都结合至一总管104(例如经由钎焊、螺栓、螺钉和/或某些其它紧固技术)上,该总管本身又结合至一(例如铝或任何其它合适的材料的)支承架106上。图4C为图4A的冷却站21的透视图,它示出一种将每个冷却平台102a-102c的内部冷却结构(例如用钎焊)结合至总管104上的方法。图4D为每个冷却平台102a-102c的内部冷却结构的透视图。
参看图4A和4B,许多提升机构108a-108c结合至支承架106上,该支承架(如同下面所说的那样)允许半导体晶片(或其它基片)被从冷却平台102a-102c下降或从其上升。每个提升机构108a-108c包括一升举部分110a-110c和一结合至升举部分110a-110c上的升举销支承臂112a-112c。每个升举销支承臂112a-112c包括许多升举销114a-114c,它们可以在升举臂112a-112c经由升举部分110a-110c上升和下降时穿过各自的冷却平台102a-102c中的孔上升和下降(在下面说明)。由此,半导体晶片可以从每个冷却平台102a-102c升起或向其下降(例如为了从每个冷却平台102a-102c取下或放在其上)。提升机构108a-108c可例如包括1.6mm的钻出的气缸,虽然也可以同样采用任何传统的提升机构。一个示例性提升机构为一Device Net EV气动块。每个提升机构108a-108c可包括用于检测升举缸的位置的传感器(例如两个或更多的传统的磁力开关)。升举销114a-114c可包括具有设置在其上的陶瓷球或陶瓷尖(未示出)的不锈钢升举销,该球或尖在冷却时与半导体晶片接触。也可以采用其它升举销材料。
在本发明的一个实施例中,每个冷却平台102a-102c包括一顶部116a-116c和一底部118a-118c,它们包括有冷却液管线120a-120c(图4C和4D)。顶部116a-116c和底部118a-118c可例如包括镀镍的铝或其它合适的材料,并可经由合适的结合机构(例如螺钉、螺栓、粘结剂等)结合在一起(将冷却液管线120a-120c夹在其间)。在顶部116a-116c、底部118a-118c和冷却液管线120a-120c之间可以采用热油脂(例如MASTERBOND公司的SUPREME 10 AOHT),以在这些部分之间提供热传递。冷却液管线120a-120c可包括铜、不锈钢或任何其它合适的材料。在一个实施例中,每个冷却平台102a-102c的顶部116a-116c为黑色的经过阳极氧化处理的铝。
在一个用于冷却平台102a-102c的可替代的实施例中,每个冷却液管线120a-120c在铸造顶部116a-116c与底部118a-118c时放置在顶部116a-116c与底部118a-118c之间(例如,每个冷却平台102a-102c包括一体形成的单元)。在这种实施例中,冷却平台102a-102c不需要装配,并且在顶部和/或底部(例如铝)完全包围冷却液管线(例如不锈钢或铜)时不需要热油脂。具有比顶部/底部高的熔点的冷却液管线要优选成使冷却液管线不会在冷却平台形成时变形。
不管冷却平台102a-102c的真正结构如何,都可以要求使每个冷却平台102a-102c的顶部116a-116c比底部118a-118c厚。也就是说,如果冷却平台102a-102c的冷却液管线太靠近冷却平台102a-102c的顶面,则在直接居于冷却液管线的上方的顶面区域内会产生更多的冷却。较大的(例如较厚的)顶部116a-116c提供较大的热质量,而且可允许每个冷却平台102a-102c有更均匀的冷却。在本发明的一个实施例中,每个冷却平台102a-102c的总厚度约为1.062英寸,虽然也可用其它的厚度。
在本发明的至少一个实施例中(图4B),每个冷却平台102a-102c的顶部116a-116c包括一个或更多的(1)隔热垫122;(2)对准销124;和(3)允许升举销114a-114c经过它伸出的通孔126。每个冷却平台102a-102c的底部118a-118c可以同样地在构形上做有升举销通孔(未示出)。
隔热垫122可例如包括一部分埋在顶部116a-116c中的隔热球,诸如1/4″氮化硅球、碳球或陶瓷球,它们在每个冷却平台102a-102c的顶面的上方伸出约0.040英寸。隔热垫122可例如用高温环氧树脂粘结至每个冷却平台102a-102c的顶部116a-116c上。在一个实施例中,在每个冷却平台102a-102c上采用了足够数量的并且以合适的间距布置的隔热垫122,以保证放在隔热垫122上的半导体晶片不会与每个冷却平台102a-102c的顶面接触。防止半导体晶片与冷却平台102a-102c的顶面之间的直接接触可以(1)减少颗粒的产生;以及(2)减少半导体晶片的不均匀冷却(因为晶片的不均匀冷却会损伤晶片的不均匀冷却的部分或粉碎晶片)。
在一个实施例中,在放置在隔热垫122上的半导体晶片与采用隔热垫122的冷却平台102a-102c的顶面之间可以有0.040英寸的气隙。也可以采用其它的气隙间距。当用埋入的球作为垫122时,在每个冷却平台102a-102c的顶部116a-116c中的球孔的深度可以影响冷却平台102a-102c的顶部与放置在其中的冷却液管线120a-120c之间的距离,和/或冷却平台102a-102c的总厚度。
对准销124可例如包括石英或任何其它合适的材料。在一个实施例中,对准销124包括抛光的石英(例如在晶片与销124接触时使颗粒的产生为最少),该石英做成带角度的,以便允许晶片靠在其上滑动而不粘附。一个示例性的角度为与每个销的中心轴线成25度,虽然也可以采用其它角度。对准销124允许半导体晶片在每个冷却平台102a-102c上准确定位。在晶片定位时采用对准销在本技术中是已知的,此处不必进一步描述。
参看图4B和4C,冷却平台102a的冷却液管线120a(以及冷却平台102b-102c的冷却液管线120b-102c)包括一空心管,其构形做成将冷却液(例如水和/或制冷剂)送至冷却平台102a。这样,顶部116a和放置在其上的半导体晶片可以被冷却。参看图4B,在本发明的一个实施例中,冷却液管线120a专门构形成放在一单个的平面(例如图4B中的X-Y平面)中。这样,冷却平台102a的厚度得以减少(当与其中的冷却液管线不完全放在一单个平面中的设计相比时)。如果有需要,也可以采用这种“多平面”的设计,并且例如示于图4B中。
图4B和4C的冷却液管线120a有一入口128和一出口130,它们都结合至总管104上。在一个实施例中,入口128(如图所示)放置成靠近冷却平台102a的外缘,而出口130则(如图所示)放置成靠近冷却平台102a的中心。入口128放置成靠近冷却平台102a的外缘是因为:(1)冷却平台的质量的最大的百分比的位置靠近冷却平台102a的外缘;以及(2)经过冷却液管线120a行走的冷却液在入口128处是最冷的。这样,“最冷的”冷却液冷却冷却平台102a的最大部分。
冷却液管线120a的其余部分按一种非螺旋线的方式(与图4E的冷却平台102a′的按螺旋线的冷却液管线120a′不同)经过冷却平台102a从入口128a卷绕至出口130,也就是说,在冷却管线120a从入口128卷绕至出口130,冷却液管线120a建立一系列渐进地直径变小的圆形冷却液管线路径132a-132f。每个冷却液管线路径132a-132f沿其路径在一大致等径向距离的地方向冷却平台102a提供冷却(与按螺旋线向内的冷却液管线不同)。由此可提高冷却均匀性。
为了得到渐进地直径变小的圆形冷却液管线路径132a-132f,冷却液管线120a做有一系列的弯曲134a-134e(图4C)。在本发明的至少一个实施例中,弯曲134a-134e都位于出口130附近(如图4C所示)。将弯曲134a-134e放置在出口130附近可在冷却液从入口128行走至出口130时,通过在出口130附近提供更多的冷却液管线表面积而补偿冷却液的加热(如同在下面进一步说明的那样)。弯曲134a-134e可以例如是椭圆形。弯曲量可以被控制,以增加或减少经过冷却液管线120a的流动阻力。
在至少一个实施例中,冷却液管线120a可以通过将冷却液管线120a的入口128和出口130分别钎焊至总管104的入口管线133和出口管线135上而结合至总管104上(图4A)。冷却平台102b-102c的冷却液管线120b-120c可以同样地构形。在一个实施例中,每个冷却液管线包括3/8英寸外径和0.475英寸内径的导管。也可以采用其它导管尺寸(诸如允许较大的流量的较大的导管尺寸)。
为了冷却冷却平台102a-102c,可以用压力将水或某些其它冷却液送至总管104的输入管线133中。示例性输入液体压力包括60-80psi,虽然也可以用其它压力。设每个冷却平台102a-102c的冷却液管线120a-120c的流动阻力是相等的,则供至总管104的输入管线133的冷却液应当差不多同时流达,并差不多以同样的流量经过每个冷却平台102a-102c。每个冷却平台102a-102c(以及任何经由升举销114a-114c放置在其上的半导体晶片)因而都可以被冷却。
就冷却液管线120a(以及冷却平台102b-102c的冷却液管线120b-120c)而言,冷却液体从总管104的输入管线133行走至冷却液管线120a的入口128,经过冷却液管线120a并从出口130出来到达总管104的输出管线135。在图4B和4C的实施例中,冷却液管线120a的入口128和出口130的位置彼此靠近。因而可以减小总管104的侧向尺寸。
如图4A-4C所示,每个冷却平台102a-102c主要为圆形,以便模拟半导体基片的形状,并提高冷却均匀性。每个冷却平台102a-102c的颈部区136a-136c可具有与总管104相同的宽度(如图4A和图4C所示)。要注意,虽然较小的颈部区136a-136c可造成较均匀的冷却,但是,较小的颈部区136a-136c也使每个冷却平台102a-102c的支承更为困难。也可以采用其它冷却平台的形状。
如图4B所示,每个冷却平台102a-102c可以做有孔(未示出),它们接纳用于相对于总管104保持/定位平台102a-102c的杆138a-138b。也可用螺钉、螺柱或其它紧固件(未示出)去拉每个冷却平台102a-102c,使其靠在总管104上(例如,使冷却平台102a-102c与总管104垂直)。也可以同样采用其它紧固技术。
冷却平台102a-102c也可以用空气冷却,而不是用液体冷却。例如,每个冷却平台102a-102c的底部可以通风,以加大空气流量(例如用一散热图形)。
参考图5的分解立面侧视图,在处理系统11中或在任何工具中都可以采用本发明的阀组件213,该工具得益于一机构,以用于有选择地密封一室CH的开口214和防止颗粒和/或气体在室开口214未被密封时走入和/或走出室CH。
阀组件213可包括一壳体215,以用于将组件213结在被密封的室开口214的附近。壳体215包括至少一第一开口217和一门槛部分219,基片可经过该第一开口被转移至室开口214,该门槛部分可放置在室开口214的附近。在门槛部分219中可形成许多入口220,它们用于越过室开口214供应一气帘。该气体可例如从一气源S(例如一惰性气体如氖、氩或类似物的源)供应。为了清楚起见,只有一个入口220示出是结合至气源S上的。入口220也可以位于其它位置上,诸如沿壳体215的一侧或两侧。
如图5所示,一密封表面221可结合至壳体215上并可用于相对于壳体215上升和下降,以便有选择地(1)密封室开口214;以及(2)从室215缩回。
在壳体215中还设置一个或更多的开口223(它们可以结合至一真空泵P上),以使来自气体供应入口220的气流可以经过其排放。为了清楚起见,只有一个开口223示出是结合至泵P上的。开口223也可以位于其它位置上,诸如沿壳体215的一侧或两侧。
在一个实施例中,气体供应源S也可以省去,并且壳体215的内部区域可以泵成真空(例如经由一泵P),以保证壳体215的内部区域在处理室CH打开时处于比处理室CH低的压力。由此,可以防止颗粒流入打开的处理室CH。同样,任何可能留在处理室CH中的气体都可以经由阀组件的排放口223被泵出。在另一实施例中,真空泵P可以省去,而是只采用气源S。
阀组件213的密封表面221可以结合至可充气的构件225上,该构件可以有选择地被充气和放气,以便有选择地将密封表面221压靠在室开口214上,并从压靠在室开口214上缩回密封表面221。在一个实施例中,一对密封表面(例如一第一和一第二密封板221和227)可以放置在可充气的构件225的两相反侧,以使可充气的构件225的充气同时将第一密封板221压靠在室开口214上,将第二密封板227压靠在壳体215中的开口217上。示例性密封表面221、227和可充气的构件225在美国专利No.6347918和美国临时性专利申请系列No.60/216918中作了说明,前者于2002年2月19日登记,题为“可充气的缝隙阀/门阀”,后者于2000年7月8日登记,题为“用真空辅助的门组件”,两者都包括可以经过改进,以包括本发明的门槛部分219的阀组件,而且两者都全部在此结合,以作为参考。
在操作时,只要密封表面221缩回,不与室开口214接触,就经过许多在门槛部分219中形成的入口220供应惰性气体(例如来自气源S的氮)。气流可以例如刚好在室开口214启封以前开始。在一个实施例中,可以用于或不用于控制室CH中的处理的控制器229结合至压力检测器D(在图5中只示出其中的一个)上,该检测器接收来自处理室CH和/或来自阀组件213的内部区域的压力读数。该控制器229还可结合至泵P和气源S上,以用于控制来自阀组件213的泵送运动和/或向阀组件的气体输送。控制器229还可以调节阀组件213的内部区域的压力(例如通过以比向其流动的惰性气体流(如果有的话)大的流量真空泵送该区域以便防止污染物进入处理室CH,和/或当可能有害的室内气体从处理室CH逸出时,立即将其除去)。
各入口220的直径和其间的间距是与气体的流量一起选取的,以使有一连续的层状气帘流越室开口214。这样,来自入口220的气流可以直接将任何可能从室开口241选出的化学物带至排放口(例如经由开口223)。例如,如果本发明的阀组件213在处理系统11中使用(图1),则任何在处理室19a-19b中使用的有害的化学物品都可以被防止进入转移室13。本发明的缝隙阀组件213,在用于在一保持处于大气压力的室(因而不需要像真空室那样泵送和清洗)和一使用有毒气体的处理室(例如一进行采用氨的氮化处理的室)之间进行密封时,是特别有利的,不管处理室是否在真空下或大气压力下操作。例如,当处理室CH进行干式氧化处理时,采用阀组件213可能是理想的。
入口220的数量和出口223的数量不需要相同,而入口和/或出口可包括任何合适的形状(例如圆形、正方形等等)。控制器229可包括一个或更多的计算机程序产品,以用于(1)检测阀组件213的内部区域中的压力水平(例如经由一检测器D);(2)控制/调节至阀组件213的气流(例如经由气源S的压力调节器,流量控制器等(未示出));和/或(3)控制/调节来自阀组件213的气体泵送运动(例如经由一泵P的节流阀(未示出),通过改变泵P的速度,等)。此处描述的每个计算程序产品可以通过一可被计算机读出的媒体来携带(例如载波信号,软盘,光盘,DVD,硬驱,随机存取器,等)。
应当明白,壳体215可包括一具有一开口的后壁部分(均未示出),以用于定位在室开口214的附近,或者,如图5所示,室壁可起着壳体215的后壁的作用。
前面的说明仅公开了本发明的一个实施例;上面公开的设备的改进以及在本发明的范围之内的方法对熟悉本技术的人都是很容易明白的。
因此,虽然联系本发明的示例性实施例公开了本发明,但是应当明白,其它实施例也属于由下面的权利要求书规定的本发明的精神和范围之内。

Claims (13)

1.一用于运输基片的基片搬运器,它包括:
一叶片,包括一叶片部分,它用于在由叶片限定的第一平面中支承基片,并包括一支承部分,其连接于并适于支承所述叶片部分;以及
一传感器,其连接于叶片的支承部分,用于检测叶片上的基片的存在,该传感器包括一发射器和一检测器,该发射器用于发射一光束,以使该光束处于第一平面内,该检测器用于接收和检测该光束,发射器和检测器都连接至叶片上,以使在第一平面内被叶片支承的基片遮断该光束。
2.如权利要求1所述的基片搬运器,其特征为,叶片由能耐高于70℃的温度的材料构成。
3.如权利要求2所述的基片搬运器,其特征为,所述材料适于经受600℃的温度。
4.如权利要求2所述的基片搬运器,其特征为,叶片的材料包括石英。
5.如权利要求2所述的基片搬运器,其特征为,在传感器附近的叶片部分包括一非折射涂层。
6.如权利要求5所述的基片搬运器,其特征为,非折射涂层包括碳化硅。
7.如权利要求5所述的基片搬运器,其特征为,检测器至少部分地被金属材料包围,以便防止来自光束的反射光或折射光的错误检测。
8.如权利要求1所述的基片搬运器,其特征为,传感器的光束增益阈值可以调节,以便允许对光束的反射和折射的补偿。
9.如权利要求8所述的基片搬运器,其特征为,叶片由能耐高于70℃的温度的材料构成。
10.如权利要求5所述的基片搬运器,其特征为,发射器至少部分地被金属材料包围,以便防止来自光束的反射光或折射光的错误检测。
11.一将基片放置在叶片上并确定基片是否正确放置在其上的方法,它包括:
提供一基片搬运器,它包括:
一包括能耐高于70℃的温度的材料的叶片,并包括一叶片部分,其用于在第一平面内支承一基片,和支承部分,其连接于并适于支承叶片部分;以及
一传感器,它连接至叶片的支承部分上,以用于检测叶片上的基片的存在,该传感器用于发射一光束,以使该光束处于第一平面内,并用于接收和检测发射出的光束;
将基片放置在叶片上;和
根据传感器检测到还是未检测到该光束而确定基片是否正确放置在叶片上。
12.如权利要求11所述的方法,它进一步包括,如果传感器检测到该光束,就阻止叶片移动。
13.如权利要求11的方法,它进一步包括,提供一控制器与传感器联通,以用于在传感器检测到该光束时阻止叶片移动。
CNB02814161XA 2001-07-15 2002-07-13 处理系统 Expired - Lifetime CN100435269C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US30567901P 2001-07-15 2001-07-15
US60/305,679 2001-07-15

Publications (2)

Publication Number Publication Date
CN1613137A CN1613137A (zh) 2005-05-04
CN100435269C true CN100435269C (zh) 2008-11-19

Family

ID=23181850

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB02814161XA Expired - Lifetime CN100435269C (zh) 2001-07-15 2002-07-13 处理系统

Country Status (6)

Country Link
US (2) US8796589B2 (zh)
JP (2) JP2005518655A (zh)
KR (1) KR100914363B1 (zh)
CN (1) CN100435269C (zh)
TW (1) TWI229916B (zh)
WO (1) WO2003009346A2 (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003009346A2 (en) * 2001-07-15 2003-01-30 Applied Materials,Inc. Processing system
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8398816B1 (en) * 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
CN103021908B (zh) * 2005-12-20 2015-09-30 应用材料公司 用于半导体设备制造装备的延伸主机设计
KR100757349B1 (ko) * 2006-01-09 2007-09-11 삼성전자주식회사 기판 이송 로봇 및 이를 갖는 기판 세정 장치
KR101003446B1 (ko) * 2006-03-07 2010-12-28 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 기판 처리 방법
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8991785B2 (en) * 2007-10-26 2015-03-31 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
US8382180B2 (en) * 2007-10-31 2013-02-26 Applied Material, Inc. Advanced FI blade for high temperature extraction
US8426778B1 (en) 2007-12-10 2013-04-23 Novellus Systems, Inc. Tunable-illumination reflector optics for UV cure system
US7878562B2 (en) 2007-12-31 2011-02-01 Memc Electronic Materials, Inc. Semiconductor wafer carrier blade
IT1398436B1 (it) * 2010-01-27 2013-02-22 Applied Materials Inc Dispositivo di manipolazione di substrati mediante aria compressa
JP5614417B2 (ja) * 2012-01-05 2014-10-29 株式会社安川電機 搬送システム
JP6243898B2 (ja) 2012-04-19 2017-12-06 インテヴァック インコーポレイテッド 太陽電池製造のための2重マスク装置
EP2852469B1 (en) 2012-04-26 2019-04-24 Intevac, Inc. System architecture for vacuum processing
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
JP6079200B2 (ja) * 2012-05-16 2017-02-15 東京エレクトロン株式会社 クーリング機構及び処理システム
KR102187404B1 (ko) * 2013-01-31 2020-12-07 가부시키가이샤 니콘 처리 장치, 분사 처리 방법 및 전극 재료의 제조 방법
TWI496233B (zh) * 2013-03-01 2015-08-11 Mas Automation Corp Substrate positioning device
WO2014163791A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc Semiconductor device manufacturing platform with single and twinned processing chambers
KR101734821B1 (ko) 2013-03-15 2017-05-12 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조시 기판들을 프로세싱하도록 적응된 프로세싱 시스템들, 장치, 및 방법들
WO2014150260A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
CN117174610A (zh) 2013-08-12 2023-12-05 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
US9028765B2 (en) 2013-08-23 2015-05-12 Lam Research Corporation Exhaust flow spreading baffle-riser to optimize remote plasma window clean
US9435025B2 (en) 2013-09-25 2016-09-06 Applied Materials, Inc. Gas apparatus, systems, and methods for chamber ports
JP2016537805A (ja) 2013-09-26 2016-12-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理のための混合プラットフォームの装置、システム、及び方法
US10199256B2 (en) 2013-09-28 2019-02-05 Applied Materials, Inc. Methods and systems for improved mask processing
CN105580107B (zh) 2013-09-30 2019-02-19 应用材料公司 传送腔室气体净化装置、电子设备处理系统及净化方法
CN110085535A (zh) 2013-11-04 2019-08-02 应用材料公司 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法
US9696097B2 (en) * 2014-08-01 2017-07-04 Applied Materials, Inc. Multi-substrate thermal management apparatus
TWI662646B (zh) 2014-08-05 2019-06-11 美商因特瓦克公司 植入用遮罩及其對準
US10566226B2 (en) * 2014-11-11 2020-02-18 Applied Materials, Inc. Multi-cassette carrying case
KR20170091661A (ko) 2014-11-25 2017-08-09 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 및 퍼지 챔버 환경 제어들을 이용하는 기판 프로세싱 시스템들, 장치, 및 방법들
US9735089B2 (en) * 2015-09-24 2017-08-15 Intel Corporation Thermal management for flexible integrated circuit packages
US10520371B2 (en) 2015-10-22 2019-12-31 Applied Materials, Inc. Optical fiber temperature sensors, temperature monitoring apparatus, and manufacturing methods
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10298099B2 (en) * 2016-02-29 2019-05-21 Dana Automotive Systems Group, Llc Method of sensor misalignment learning for motor speed estimation
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10684159B2 (en) 2016-06-27 2020-06-16 Applied Materials, Inc. Methods, systems, and apparatus for mass flow verification based on choked flow
JP1581406S (zh) * 2016-10-14 2017-07-18
US10446428B2 (en) 2017-03-14 2019-10-15 Applied Materials, Inc. Load port operation in electronic device manufacturing apparatus, systems, and methods
US11011355B2 (en) * 2017-05-12 2021-05-18 Lam Research Corporation Temperature-tuned substrate support for substrate processing systems
US10361099B2 (en) 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US11107709B2 (en) 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
KR102263713B1 (ko) * 2019-06-27 2021-06-10 세메스 주식회사 지지 유닛, 이를 포함하는 기판 처리 장치
JP1684469S (ja) * 2020-09-24 2021-05-10 基板処理装置用天井ヒータ
US20220199436A1 (en) * 2020-12-23 2022-06-23 Applied Materials, Inc. Semiconductor processing tool platform configuration with reduced footprint
CN115265634B (zh) * 2022-09-28 2022-12-06 山东豪迈机械科技股份有限公司 刀具检测机及其检测方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6360544A (ja) * 1986-09-01 1988-03-16 Fujitsu Ltd 移送装置
US5376785A (en) * 1992-10-02 1994-12-27 Chin; Philip K. Optical displacement sensor utilizing optical diffusion
US5564889A (en) * 1993-06-18 1996-10-15 Tokyo Electron Limited Semiconductor treatment system and method for exchanging and treating substrate
US5974682A (en) * 1996-09-02 1999-11-02 Tokyo Electron Limited Cooling process system
US6053983A (en) * 1997-05-08 2000-04-25 Tokyo Electron, Ltd. Wafer for carrying semiconductor wafers and method detecting wafers on carrier
WO2000068625A1 (en) * 1999-05-11 2000-11-16 Matrix Integrated Systems, Inc. End-effector with integrated cooling mechanism
US6206441B1 (en) * 1999-08-03 2001-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for transferring wafers by robot

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4124530A (en) * 1976-10-12 1978-11-07 Stauffer Chemical Company Olefin polymerization catalyst comprising a monoterpenic ketone and process employing same
US4493606A (en) * 1982-05-24 1985-01-15 Proconics International, Inc. Wafer transfer apparatus
JPS61222122A (ja) * 1985-03-27 1986-10-02 Rohm Co Ltd 半導体装置の製造方法
JPS62140735A (ja) 1985-12-13 1987-06-24 Otani Reiji 間仕切ラックと旋回テ−ブル塔載キャリヤ連動相互連動によるウエハ自動插入装出装置
US4696226A (en) * 1986-08-28 1987-09-29 Witmer Warner H Fluid barrier curtain system
JPH02148752A (ja) 1988-11-29 1990-06-07 Metsukusu:Kk シリコンウエハーの自動搬送装置用吸着ハンド
JPH0719149Y2 (ja) * 1989-04-10 1995-05-01 日新電機株式会社 二重フオーク横型搬送装置
US5044752A (en) * 1989-06-30 1991-09-03 General Signal Corporation Apparatus and process for positioning wafers in receiving devices
FR2652520B1 (fr) * 1989-10-02 1992-02-07 Sgn Soc Gen Tech Nouvelle Procede et dispositif pour maintenir une atmosphere propre a temperature regulee sur un poste de travail.
JPH03160744A (ja) 1989-11-17 1991-07-10 Hitachi Nakaseiki Ltd 半導体ウエハ収納装置及びこれを備えたウエハ搬送システム
FR2659782B1 (fr) * 1990-03-14 1992-06-12 Sgn Soc Gen Tech Nouvelle Procede et dispositif de separation dynamique de deux zones.
JPH04239743A (ja) 1991-01-23 1992-08-27 Shinko Electric Co Ltd 半導体製造装置のツインボートハンドラーの有効使用レイアウト
JPH04278561A (ja) 1991-03-06 1992-10-05 Tokyo Electron Ltd 処理装置
JP2576711B2 (ja) 1991-05-23 1997-01-29 富士通株式会社 半導体ウェーハ有無識別装置
KR0155572B1 (ko) * 1991-05-28 1998-12-01 이노우에 아키라 감압처리 시스템 및 감압처리 방법
US5277215A (en) * 1992-01-28 1994-01-11 Kokusai Electric Co., Ltd. Method for supplying and discharging gas to and from semiconductor manufacturing equipment and system for executing the same
US5209028A (en) * 1992-04-15 1993-05-11 Air Products And Chemicals, Inc. Apparatus to clean solid surfaces using a cryogenic aerosol
JPH0719149A (ja) 1993-06-30 1995-01-20 Nec Home Electron Ltd エンジン始動装置
US5697748A (en) * 1993-07-15 1997-12-16 Applied Materials, Inc. Wafer tray and ceramic blade for semiconductor processing apparatus
US5592581A (en) * 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
JPH0740080A (ja) 1993-07-30 1995-02-10 Kawasaki Steel Corp 巻締型フラックス入りワイヤの製造方法
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
JPH0740080U (ja) * 1993-12-27 1995-07-18 大日本スクリーン製造株式会社 基板昇降装置
JPH0897147A (ja) * 1994-09-29 1996-04-12 Mitsubishi Electric Corp エピタキシャル結晶成長装置
US5711647A (en) * 1994-10-17 1998-01-27 Aesop, Inc. Method of and apparatus for locating and orientating a part on a gripper and transferring it to a tool while maintaining location and orientation on the tool
JP3270852B2 (ja) * 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
US5997588A (en) * 1995-10-13 1999-12-07 Advanced Semiconductor Materials America, Inc. Semiconductor processing system with gas curtain
US6133550A (en) * 1996-03-22 2000-10-17 Sandia Corporation Method and apparatus for thermal processing of semiconductor substrates
JPH09283603A (ja) 1996-04-10 1997-10-31 Metsukusu:Kk 半導体ウェハ検出装置
US5980194A (en) * 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
US6024393A (en) * 1996-11-04 2000-02-15 Applied Materials, Inc. Robot blade for handling of semiconductor substrate
JP3901265B2 (ja) * 1996-11-26 2007-04-04 大陽日酸株式会社 薄板状基体の搬送方法及び搬送装置
FR2757933B1 (fr) * 1996-12-27 1999-01-22 Commissariat Energie Atomique Dispositif de separation dynamique de deux zones par au moins une zone tampon et deux rideaux d'air propre
US6183183B1 (en) * 1997-01-16 2001-02-06 Asm America, Inc. Dual arm linear hand-off wafer transfer assembly
US5955858A (en) * 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
US6468353B1 (en) * 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US6575737B1 (en) * 1997-06-04 2003-06-10 Applied Materials, Inc. Method and apparatus for improved substrate handling
US5951770A (en) * 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
JP4057158B2 (ja) 1997-10-07 2008-03-05 大日本スクリーン製造株式会社 基板搬送装置およびそれを備えた基板処理装置
JPH11186363A (ja) * 1997-12-24 1999-07-09 Shin Etsu Handotai Co Ltd 半導体製造装置
US6704998B1 (en) * 1997-12-24 2004-03-16 Asyst Technologies, Inc. Port door removal and wafer handling robotic system
US6082951A (en) 1998-01-23 2000-07-04 Applied Materials, Inc. Wafer cassette load station
EP1057214A1 (en) * 1998-02-18 2000-12-06 Applied Materials, Inc. End effector for wafer handler in processing system
JPH11308679A (ja) 1998-04-17 1999-11-05 Nippon Ceramic Co Ltd 光マイクロフォン
US6056267A (en) * 1998-05-19 2000-05-02 Applied Materials, Inc. Isolation valve with extended seal life
KR20000002834A (ko) * 1998-06-23 2000-01-15 윤종용 에어커튼이 형성되는 반도체 제조용 확산설비 및 이를 제어하는방법
US6167322A (en) * 1998-07-10 2000-12-26 Holbrooks; Orville Ray Intelligent wafer handling system and method
JP2000074227A (ja) * 1998-08-26 2000-03-14 Sony Corp 真空処理装置および磁気シール回転軸受けユニット
US6298280B1 (en) * 1998-09-28 2001-10-02 Asyst Technologies, Inc. Method for in-cassette wafer center determination
US6113165A (en) * 1998-10-02 2000-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Self-sensing wafer holder and method of using
US6405101B1 (en) * 1998-11-17 2002-06-11 Novellus Systems, Inc. Wafer centering system and method
US6256555B1 (en) * 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
AU2041000A (en) * 1998-12-02 2000-06-19 Kensington Laboratories, Inc. Specimen holding robotic arm end effector
US6347918B1 (en) * 1999-01-27 2002-02-19 Applied Materials, Inc. Inflatable slit/gate valve
JP2000306978A (ja) * 1999-02-15 2000-11-02 Kokusai Electric Co Ltd 基板処理装置、基板搬送装置、および基板処理方法
US6322312B1 (en) * 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US6166509A (en) * 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6502054B1 (en) * 1999-11-22 2002-12-31 Lam Research Corporation Method of and apparatus for dynamic alignment of substrates
JP3306398B2 (ja) * 1999-11-29 2002-07-24 大日本スクリーン製造株式会社 基板搬送装置および搬送教示システム
SG106599A1 (en) * 2000-02-01 2004-10-29 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
US6520727B1 (en) * 2000-04-12 2003-02-18 Asyt Technologies, Inc. Modular sorter
JP2002110761A (ja) * 2000-05-04 2002-04-12 Applied Materials Inc 温度感知用途を有するロボット用装置及び方法
JP3595756B2 (ja) * 2000-06-01 2004-12-02 キヤノン株式会社 露光装置、リソグラフィ装置、ロードロック装置、デバイス製造方法およびリソグラフィ方法
EP1297397A2 (en) * 2000-06-14 2003-04-02 Applied Materials, Inc. Methods and apparatus for maintaining a pressure within an environmentally controlled chamber
US6553280B2 (en) * 2000-07-07 2003-04-22 Applied Materials, Inc. Valve/sensor assemblies
AU2001281293A1 (en) 2000-07-08 2002-01-21 Applied Materials, Inc. Door assembly for sealing an opening of a chamber
US6631935B1 (en) * 2000-08-04 2003-10-14 Tru-Si Technologies, Inc. Detection and handling of semiconductor wafer and wafer-like objects
US6602346B1 (en) * 2000-08-22 2003-08-05 Novellus Systems, Inc. Gas-purged vacuum valve
WO2003009346A2 (en) 2001-07-15 2003-01-30 Applied Materials,Inc. Processing system
US6500261B1 (en) * 2001-12-21 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus for preventing misplacement of a cassette pod onto a process machine
US7039498B2 (en) * 2003-07-23 2006-05-02 Newport Corporation Robot end effector position error correction using auto-teach methodology
CN103021908B (zh) * 2005-12-20 2015-09-30 应用材料公司 用于半导体设备制造装备的延伸主机设计
US8991785B2 (en) * 2007-10-26 2015-03-31 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
JP5228495B2 (ja) * 2008-01-11 2013-07-03 富士通セミコンダクター株式会社 半導体装置の製造方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6360544A (ja) * 1986-09-01 1988-03-16 Fujitsu Ltd 移送装置
US5376785A (en) * 1992-10-02 1994-12-27 Chin; Philip K. Optical displacement sensor utilizing optical diffusion
US5564889A (en) * 1993-06-18 1996-10-15 Tokyo Electron Limited Semiconductor treatment system and method for exchanging and treating substrate
US5974682A (en) * 1996-09-02 1999-11-02 Tokyo Electron Limited Cooling process system
US6053983A (en) * 1997-05-08 2000-04-25 Tokyo Electron, Ltd. Wafer for carrying semiconductor wafers and method detecting wafers on carrier
WO2000068625A1 (en) * 1999-05-11 2000-11-16 Matrix Integrated Systems, Inc. End-effector with integrated cooling mechanism
US6206441B1 (en) * 1999-08-03 2001-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for transferring wafers by robot

Also Published As

Publication number Publication date
WO2003009346A2 (en) 2003-01-30
TWI229916B (en) 2005-03-21
KR100914363B1 (ko) 2009-08-28
KR20040017303A (ko) 2004-02-26
US8796589B2 (en) 2014-08-05
US20050072716A1 (en) 2005-04-07
US20150013771A1 (en) 2015-01-15
JP2011139079A (ja) 2011-07-14
WO2003009346A3 (en) 2004-03-18
JP2005518655A (ja) 2005-06-23
CN1613137A (zh) 2005-05-04
US10665476B2 (en) 2020-05-26

Similar Documents

Publication Publication Date Title
CN100435269C (zh) 处理系统
US8057602B2 (en) Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US7758341B2 (en) Utility apparatus and utility method of substrate processing apparatus
US10490427B2 (en) Apparatus for treating substrate
US8698049B2 (en) Rapid thermal processing lamphead with improved cooling
KR102009597B1 (ko) 기판 처리 장치 및 기판 처리 방법
CN104347382A (zh) 基板处理设备
US10818525B2 (en) Ambient controlled transfer module and process system
US8222569B2 (en) Heat-treating apparatus, heat-treating method and storage medium
CN104451888A (zh) 用于半导体晶片的退火模块
US20020179283A1 (en) Device and method for manufacturing semiconductor
US6954585B2 (en) Substrate processing method and apparatus
KR102359295B1 (ko) 기판 가열 유닛
JP2008112801A (ja) ピンホルダおよび基板処理装置
KR101444711B1 (ko) 기판 제조 장치용 온도 조절 시스템
KR102119690B1 (ko) 기판 가열 유닛
KR102621848B1 (ko) 지지 유닛 및 기판 처리 장치
KR102258243B1 (ko) 기판 가열 유닛
CN117153729A (zh) 半导体工艺设备及其清理方法
KR20200060699A (ko) 기판 가열 유닛
KR20200059203A (ko) 기판 가열 유닛
KR20200059202A (ko) 기판 가열 유닛

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee

Owner name: APPLIED MATERIALS INC.

Free format text: FORMER NAME: APPLIED MATERIALS, INC.

CP03 Change of name, title or address

Address after: American California

Patentee after: APPLIED MATERIALS, Inc.

Address before: California, USA

Patentee before: Applied Materials, Inc.

C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: APPLIED MATERIALS, Inc.

Address before: American California

Patentee before: APPLIED MATERIALS, Inc.

CX01 Expiry of patent term

Granted publication date: 20081119

CX01 Expiry of patent term