CN110085535A - 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法 - Google Patents

具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法 Download PDF

Info

Publication number
CN110085535A
CN110085535A CN201910222186.8A CN201910222186A CN110085535A CN 110085535 A CN110085535 A CN 110085535A CN 201910222186 A CN201910222186 A CN 201910222186A CN 110085535 A CN110085535 A CN 110085535A
Authority
CN
China
Prior art keywords
transfer chamber
interface
interface unit
chamber
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910222186.8A
Other languages
English (en)
Inventor
迈克尔·罗伯特·赖斯
迈克尔·迈尔斯
约翰·J·马佐科
迪安·C·赫鲁泽克
迈克尔·库查尔
苏斯汉特·S·科希特
潘查拉·N·坎卡纳拉
埃里克·A·恩格尔哈特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110085535A publication Critical patent/CN110085535A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

描述了一种配置用于在半导体装置制造期间使用的传送腔室。传送腔室包括至少一个第一侧面,所述至少一个第一侧面具有第一宽度,所述至少一个第一侧面被配置成耦接至一个或更多个基板传送单元(例如,一个或更多个装载锁定腔室或一个或更多个通路单元),并且所述传送腔室包括至少第二组的侧面,所述至少第二组的侧面具有第二宽度,所述第二宽度与所述第一宽度不同,所述第二组的侧面被配置成耦接至一个或更多个处理腔室。所述传送腔室的侧面的总数量为至少7个。使用单个机械手执行所述传送腔室内的传送作业。在诸多其他方面中描述了用于处理基板的处理工具和处理方法。

Description

具有增加的侧面数量的传送腔室、半导体装置制造处理工具 和处理方法
本申请是申请日为2014年11月3日申请的申请号为201480060288.X,并且发明名称为“具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法”的发明专利申请的分案申请。
相关申请
本申请要求享有于2013年11月4号提交的且发明名称为“具有增加的侧面数量的半导体装置制造平台(SEMICONDUCTOR DEVICE MANUFACTURING PLATFORM WITH ANINCREASED NUMBER OF SIDES)”之美国临时申请第61/899,862号(代理人案号:21233/USA/L)的优先权,为了各种目的,以引用方式将该申请结合在此。
技术领域
本发明涉及半导体装置制造,且更具体而言涉及半导体装置制造平台的配置。
背景技术
半导体装置的制造通常涉及对基板或“晶片”(诸如,硅基板、玻璃板和诸如此类者)执行一系列步骤。这些步骤可包括抛光、沉积、蚀刻、光刻、热处理等等。通常可在含有多个处理腔室的单个处理系统或“工具”中执行许多不同的处理步骤。然而,一般情况是在制造设备内的其他处理位置处执行其他处理,因而必需将基板从所述制造设备内的一个处理位置传送至制造设备内的另一个处理位置。根据要制造的半导体装置的类型,可能会使用相对大量的处理步骤,并在所述制造设备内的许多不同处理位置处执行这些步骤。
传统上是使基板置于基板载具(诸如,密封的箱(pod)、匣(cassette)、容器等等)内而将基板从一个处理位置传送至另一个位置。传统上亦采用自动化基板载具传送装置(诸如,自动化引导工具、顶部传送系统(overhead transport system)、基板载具搬运机械手(robot)和诸如此类者)将基板载具从制造设备内的一个位置移动到制造设备内的另一位置,或将基板载具从基板载具传送装置中取出或送入基板载具传送装置中。
此种基板传送方式通常涉及使基板暴露在室内空气中,或使基板至少暴露在非真空的环境下。任何一种都可能使基板暴露在不理想的环境(例如,氧化物种)中和/或其他污染物下。
发明内容
在一方面中,提供一种配置用于在半导体装置制造期间使用的传送腔室。所述传送腔室包括:至少第一组的侧面,所述至少第一组的侧面具有第一宽度,且所述至少第一组的侧面被配置成耦接至一个或更多个基板传送单元(例如,一个或更多个装载锁定腔室和/或通路单元(pass-through unit));和至少第二组的侧面,所述至少第二组的侧面具有第二宽度,且第二宽度大于第一宽度,所述第二组的侧面被配置成耦接至一个或更多个处理腔室,其中所述传送腔室的侧面的总数量为至少7个,并且其中使用单个机械手执行所述传送腔室内的传送作业。
在另一方面中,提供一种处理工具。所述处理工具包括一个或更多个装载锁定腔室、多个处理腔室和传送腔室,所述传送腔室包括:至少一个第一侧面,所述至少一个第一侧面具有第一宽度,且所述至少一个第一侧面被配置成耦接至一个或更多个基板传送单元;和至少第二组的侧面,所述至少第二组的侧面具有第二宽度,且第二宽度与第一宽度不同,所述第二组的侧面被配置成耦接至一个或更多个处理腔室;其中所述传送腔室的侧面的总数量为至少7个,并且使用单个机械手执行所述传送腔室内的传送作业。
在另一方面中,提供一种处理工具。所述处理工具包括一个或更多个装载锁定腔室;通路单元;耦接在所述一个或更多个装载锁定腔室与所述通路单元之间的第一传送腔室;和耦接至所述通路单元的第二传送腔室,其中配置用来接收位于所述第一传送腔室与所述第二传送腔室之间的处理腔室的侧面的总数量为至少10个,且分别使用单个机械手执行所述第一传送腔室和所述第二传送腔室各自内部的传送作业。
在另一方面中,提供一种接口单元(interface unit)。所述接口单元包括接口主体,所述接口主体包括:前区域,所述前区域包括多个接口侧面,所述前区域被配置成耦接至传送腔室;和后区域,所述后区域被配置成耦接至工厂接口(factory interface);和三个装载锁定腔室,所述三个装载锁定腔室形成在所述接口主体内。
在方法方面中,提供一种半导体装置制造方法。所述方法包括:提供传送腔室,所述传送腔室具有至少一个第一侧面,所述至少一个第一侧面具有第一宽度且所述至少一个第一侧面耦接至一个或更多个基板传送单元,且所述传送腔室具有至少第二组的侧面,所述至少第二组的侧面具有第二宽度且第二宽度与第一宽度不同,所述第二组的侧面耦接至多个处理腔室,其中所述传送腔室的侧面的总数量为至少7个;和在所述传送腔室中使用单个机械手在所示一个或更多个基板传送单元与所述多个处理腔室中的至少一个腔室之间传送基板。
可根据本发明的这些及其他实施方式提供诸多其他方面。本发明实施方式的其他特征和方面将通过以下详细说明、所附权利要求和附图变得更加显而易见。
附图说明
下述各图仅作为举例说明之用且未必按比例绘制。这些图不意在以任何方式限制本公开内容的范围。
图1A至图1B图示根据实施方式所提供的示例性处理工具的俯视示意图。
图2A至图2B根据实施方式分别图示图1A至图1B的传送腔室的示例性实施方式的等角视图和俯视平面图。
图2C至图2D根据实施方式分别图示图1A至图1B的传送腔室的等角视图和俯视平面图,且该传送腔室中具有机械手。
图3A至图3B根据实施方式分别图示图1A至图1B的传送腔室的等角视图和俯视平面图,该传送腔室具有耦接至该传送腔室的接口单元。
图3C至图3D根据实施方式分别图示图3A至图3B的接口单元的顶部等角视图和底部等角视图。
图4A至图4B根据实施方式分别图示图1A至图1B的传送腔室的等角视图和俯视平面图,该传送腔室具有三个装载锁定腔室,且该三个装载锁定腔室直接耦接至该传送腔室的第一组侧面。
图5A至图5B根据实施方式分别图示替代传送腔室的等角视图和俯视平面图。
图5C至图5D根据实施方式分别图示图5A至图5B的传送腔室的等角视图和俯视平面图,该传送腔室具有耦接至该传送腔室的接口单元。
图5E至图5F根据实施方式分别图示图5A至图5B的接口单元的顶部等角视图和底部等角视图。
图6A根据实施方式图示示例性处理工具的俯视图,在该处理工具中使两个传送腔室耦接在一起以提供用于处理腔室的额外侧面。
图6B根据实施方式图示额外的示例性处理工具的俯视图,在该处理工具中使两个传送腔室耦接在一起以提供用于处理腔室的额外侧面。
具体实施方式
现将参照本公开内容的示例性实施方式进行详细说明,且附图中图示出这些实施方式。除非另外特别指出,否则文中所述各种实施方式的特征可彼此互相组合。
根据本发明的实施方式,提供一种半导体装置制造平台,诸如一种工具和/或主机(mainframe)(本文中称为“处理工具”或“工具”),所述平台包括传送腔室,所述传送腔室包括数量增加的位置(例如,小平面(facet)),用来附接或以其它方式耦接处理腔室和基板传送单元(例如,一个或更多个装载锁定腔室且也可能为一个或更多个通路单元)。例如,某些实施方式中,在单个工具内的传送腔室中可提供至少7个、至少8个或甚至9个或更多个连接位置。提供额外的连接位置能增加在单一个工具内可进行的处理步骤数,借助于允许具有腔室冗余度(chamber redundancy)(例如允许具有多个版本的相同处理腔室以供并行使用)和允许基板在制造工艺中有较大部分的工艺期间内可维持处于真空环境中而可提高产量。
以下参照图1A至图6B描述这些及其他的实施方式。
图1A至图1B图示根据本发明实施方式所提供的示例性处理工具100的示意性俯视平面图。参照图1A,处理工具100包括传送腔室102,传送腔室102具有多个侧面(或小平面)104a~104i,这些侧面104a~侧面104i形成九边形的传送腔室102。可使用其他形状和/或其他的侧面数量,例如可形成封闭的多边形。
在图1A至图1B的实施方式中,第一组侧面104a、104b及104c比其余的第二组侧面104d~104i要窄。第一组侧面104a、104b及104c用来将传送腔室102耦接至一个或更多个基板传送单元,诸如耦接至装载锁定腔室108(举例言之,例如耦接至一个、两个或三个装载锁定腔室),且装载锁定腔室108耦接至工厂接口106。其余的第二组侧面104d~104i可耦接至处理腔室110a~110f。在某些实施方式中,第一组侧面104a、104b及104c可各自具有约450毫米(mm)至550毫米的宽度,和/或第二组侧面104d~104i可各自具有约650毫米至950毫米的宽度。然而,在某些实施方式中,第一组侧面104a、104b及104c和/或第二组侧面104d~104i的宽度范围可为约450毫米至950毫米,和/或第一组侧面104a、104b及104c和/或第二组侧面104d~104i可为相同尺寸。第一组侧面104a~104c和/或第二组侧面104d~104i可采用其他宽度,例如不同侧面可具有不同宽度。
在图1A的实施方式中,多个类似的处理腔室耦接至传送腔室102的第二组侧面104d~104i(例如,每个处理腔室可能占据相似的占地面积(footprint))。然而,在某些实施方式中,诸如图1B的实施方式,处理腔室110a、处理腔室110c、处理腔室110e和处理腔室110f可为类似的处理腔室,例如外延沉积腔室,同时处理腔室110b和处理腔室110d可为不同类型的处理腔室,诸如蚀刻腔室。占地面积显示出不同类型的腔室,处理腔室110a、处理腔室110c、处理腔室110e和处理腔室110f所占的占地面积与处理腔室110b和处理腔室110d所占的占地面积不同。可使用其他的处理腔室配置方式、数量和/或类型。
如图1B所示,处理腔室110a~110f可分别经由腔室接口112a~112f耦接至传送腔室102。这些腔室接口112a~112f可包括,例如,通路、狭缝或闸式阀(gate valve)或诸如此类者,但图中未分别示出这些腔室接口。在使大型处理腔室耦接至传送腔室102的实施方式中,可能希望提供较深的腔室接口以允许移动大型处理腔室并使大型处理腔室与传送腔室102隔开得更远。可做出此种配置方式以适用于较大占地面积而可例如提供较佳的操作渠道(service access)及诸如此类者。在图1B的实施方式中,所示的腔室接口112b和腔室接口112d的深度大于腔室接口112a、腔室接口112c、腔室接口112e和腔室接口112f的深度。例如,腔室接口112b和腔室接口112d可具有约260毫米至约320毫米的深度,和/或腔室接口112a、腔室接口112c、腔室接口112e和腔室接口112f可具有约160毫米至约260毫米的深度。因此,第二组侧面104d~104i可具有腔室接口112a~112f,且腔室接口112a~112f可具有不同深度。可使用具有其他深度的腔室接口112a~112f。
工厂接口106被配置成用于接收一个或更多个基板载具114a~114d以用于将基板提供至这些处理腔室110a~110f。尽管图1A至图1B中示出四个基板载具,但应理解,所述工厂接口106可接收和/或被配置成用于接收更多个或更少个基板载具。在图1A至图1B的实施方式中,工厂接口106的几何中心侧向地(laterally)偏离所述传送腔室102的几何中心一段距离“O”,以便提供进出所述传送腔室102的额外渠道。然而,在其他实施方式中,可提供其他的偏离距离或无偏离。
图2A至图2B根据文中提供的实施方式,分别图示传送腔室102的示例性实施方式的等角视图和俯视平面图。参照图2A至图2B,传送腔室102包括:狭缝开口202a~202b,且这些狭缝开口202a~202b位于第一侧面104b中;和开口204a~204b,且这些开口204a~204b贯穿第一组侧面的其他的侧面104a和侧面104c,以用于与多达三个基板传送单元接口,诸如装载锁定腔室(例如,单个式、批次式或堆叠式的装载锁定腔室,图中未分别示出)。狭缝开口202a~202b的尺寸可调整成允许末端执行器(end effector)从传送腔室102进入位于这些狭缝开口202a~202b前方处的装载锁定腔室内。如以下进一步所描述的,开口204a~204b的尺寸可调整成大于狭缝开口202a~202b的尺寸,以允许机械手的腕部(wrist)或其他部分可伸出并通过传送腔室102而可伸向位于距离传送腔室102更远处的上装载锁定腔室和下装载锁定腔室(例如,堆叠式装载锁定腔室)。狭缝开口202a~202b的示例性尺寸为约45毫米x400毫米至约65毫米x600毫米。开口204a~204b的示例性尺寸为约280毫米x400毫米至约430毫米x600毫米。其他尺寸可用于狭缝开口202a~202b和/或开口204a~204b中的任一者。
如图2A所示,第二组侧面104d~104i各自包括第二开口206a~206f,这些第二开口允许机械手在传送腔室102与处理腔室(例如,处理腔室110a~110f)之间传送基板,这些处理腔室耦接至传送腔室102。在某些实施方式中,第二开口206a~206f可扩大以允许机械手的一部分(腕部或其他部分)在此传送期间可伸出并通过传送腔室102。第二开口206a~206f的示例性尺寸为约180毫米x400毫米至约270毫米x600毫米。其他尺寸可用于第二开口206a~206f。
在某些实施方式中,为了对传送腔室102提供额外的强度,可为传送腔室102的上盖208在介于第二开口206a~206f之间的区域中提供额外材料。例如,可在各个开口206a~206f之间提供肋材(rib)210,和/或去除位于各个第二开口206a~206f前方处的区域212中的材料。例如,每个肋材210可比区域212更进一步朝传送腔室区域内延伸约20毫米至30毫米。可采用其他的肋材尺寸和/或结构配置。
图2C至图2D根据文中提供的实施方式,分别图示传送腔室102的示例性实施方式的等角视图和俯视平面图,且所述传送腔室102中设置有机械手214。如图2C所示,在某些实施方式中,第二开口206a~206f的尺寸可经调整而适用于机械手214的腕部216,使得在基板传送作业期间,机械手214可进一步伸出通过所述传送腔室102的第二组侧面104d~104i。如图2D所示,传送腔室102可包括一个或更多个泵开口218,以供额外的真空泵使用,例如可用于低温泵(cryogenic pump)或类似装置。在某些实施方式中,机械手214可为双臂式和/或偏轴式机械手。可采用其他机械手。
图3A至图3B根据文中提供的实施方式,分别图示传送腔室102的示例性实施方式的等角视图和俯视平面图,所述传送腔室102包括接口单元302,且所述接口单元302耦接至所述传送腔室102。接口单元302被配置成允许传送腔室102与多达三个装载锁定腔室(例如,单个式或批次式装载锁定腔室、堆叠式装载锁定腔室或诸如此类者)接口。在某些实施方式中,接口单元302可形成所述多达三个装载锁定腔室的一部分或全部。此外,在某些实施方式中,可在接口单元302的上方(或内部),诸如在装载锁定腔室304a和/或装载锁定腔室304b的上方(或内部),设置除气腔室或其他处理腔室(图中未示出)。后开口305a~305c允许在工厂接口106与接口单元302之间传送基板。可利用诸如紧固件(例如,螺栓、螺钉或诸如此类者)之类的任何适当手段使接口单元302耦接至第一组侧面104a~104c和耦接至工厂接口106。
图3C至图3D是根据文中所提供的实施方式分别图示接口单元302的示例性实施方式的顶部等角视图和底部等角视图。接口单元302的前区域包括前接口侧面306a~306c,所述前接口侧面306a~306c可分别与传送腔室102的第一组侧面104a~104c(见图1A)耦接。在某些实施方式中,第一前接口侧面306a可包括第一狭缝开口308a、308b,第二前接口侧面306b可包括第二狭缝开口310a、310b,且第三前接口侧面306c可包括第三狭缝开口312a、312b,以适于在传送腔室102与装载锁定腔室(和/或除气/处理腔室)之间进行基板传送,所述装载锁定腔室(和/或除气/处理腔室)为所述接口单元302的一部分(或耦接至所述接口单元302)。如图3D所示,装载锁定腔室314a~314c提供耦接至至传送腔室102的多达三个装载锁定腔室(例如,单个式装载锁定腔室、批次式装载锁定腔室、堆叠式装载锁定腔室,等等)。
图4A至图4B根据文中提供的实施方式,分别图示传送腔室102的示例性实施方式的等角视图和俯视平面图,所述传送腔室102具有三个装载锁定腔室402a、402b和402c,且所述三个装载锁定腔室402a、402b和402c分别直接耦接至传送腔室102的该第一组侧面104a、104b和104c。装载锁定腔室402a~402c可为单个式或批次式装载锁定腔室和/或堆叠式装载锁定腔室,和/或可包括除气处理腔室或其他处理腔室。可使用少于三个的装载锁定腔室。
图5A至图5B根据文中提供的实施方式,分别图示传送腔室102的替代实施方式的等角视图和俯视平面图。参照图5A至图5B,使用单个侧面504取代较窄的第一组侧面104a、104b和104c(见图1A),所述侧面504的宽度相对较长。单个侧面504可具有长度Ls,所述长度Ls可大于第二组侧面104d~104i中的任一侧面的长度。此种设计可简化传送腔室102与一个或更多个装载锁定腔室和/或除气/处理腔室之间的接口,以下参照图5C至图5F说明此种设计。
图5C至图5D根据文中提供的实施方式,分别图示图5A至图5B的传送腔室102的示例性实施方式的等角视图和俯视平面图,所述传送腔室102具有接口单元506,且所述接口单元506耦接至所述传送腔室102。接口单元506使所述传送腔室102与多达三个装载锁定腔室(例如,单个式或批次式装载锁定腔室、堆叠式装载锁定腔室或诸如此类者)接口。在某些实施方式中,接口单元506可形成所述多达三个装载锁定腔室的一部分或全部。此外,在某些实施方式中,可在接口单元506的上方(或内部),诸如在接口单元开口508a和/或接口单元开口508b的上方或内部,设置除气腔室或其他处理腔室(图中未示出)。后接口开口509a~509c允许在工厂接口106与接口单元506之间传送基板。
图5E至图5F是根据文中提供的实施方式分别图示接口单元506的示例性实施方式的顶部等角视图和底部等角视图。接口单元506的前区域510可与传送腔室102的单个侧面504(见图5A)耦接。在某些实施方式中,在所述接口单元506内提供多个接口侧面(例如,接口侧面512a、接口侧面512b和接口侧面512c);且接口侧面512a可包括狭缝开口514a和狭缝开口514b,接口侧面512b可包括狭缝开口516a(见图5F)和狭缝开口516b,且接口侧面512c可包括狭缝开口518a和狭缝开口518b,以适用于在传送腔室102与装载锁定腔室(和/或除气/处理腔室)之间进行基板传送,所述装载锁定腔室(和/或除气/处理腔室)为接口单元506的一部分(或耦接至所述接口单元506)。如图5F所示,接口开口520a~接口开口520c提供多达三个装载锁定腔室(例如,单个式装载锁定腔室、批次式装载锁定腔室、堆叠式装载锁定腔室,等等),且这些装载锁定腔室耦接至传送腔室102。然而,可提供其他数量的装载锁定腔室及其他数量的接口侧面。
图6A根据文中提供的实施方式,图示示例性处理工具600a的俯视图,在所述处理工具600a中,第一传送腔室102a与第二传送腔室102b可耦接在一起以提供用来耦接处理腔室的额外侧面。参照图6A,处理工具600a包括接口单元602,接口单元602将第一传送腔室102a耦接至工厂接口604。基板传送装置(诸如,通路单元606)将第二传送腔室102b耦接至第一传送腔室102a。
在图6A的实施方式中,接口单元602允许有多达三个或更多个基板传送装置,诸如多达三个或更多个装载锁定腔室608a~608c(和/或除气/处理腔室),以将基板提供至第一传送腔室102a。通路单元606包括三个通路位置610a~610c,这些通路位置610a~610c可作为用来在第一传送腔室102a与第二传送腔室102b之间传送基板用的转运(hand-off)位置。在某些实施方式中,可使用较少个通路位置。此外,在某些实施方式中,通路位置610a~通路位置610c可能能够进行基板处理,诸如除气、退火、冷却或诸如此类者。可在这些通路位置610a~通路位置610c处进行其他处理。
处理工具600a提供多达10个的侧面(小平面)612a~612j,且处理腔室可耦接至这些侧面612a~612j。在其他实施方式中,额外的传送腔室可与额外的其他通路单元耦接以供连接任意数目的处理腔室。
在图6A的实施方式中,第一传送腔室102a包括:第一长侧面614a,所述第一长侧面614a被配置成耦接至接口单元602;和第二长侧面614b,所述第二长侧面614b与第一长侧面614a相对,且所述第二长侧面614b被配置成耦接至通路单元606。第二传送腔室102b包括单个长侧面614c以用于耦接至通路单元606。在某些实施方式中,(例如,当要使用一个或更多个额外的传送腔室时),第二传送腔室102b可包括一个或更多个额外的长侧面,所述一个或更多个额外的长侧面被配置成耦接至额外的通路单元。在所示的实施方式中,侧面612a、侧面612b、侧面612i、侧面612j的长度短于第一长侧面614a和第二长侧面614b每一个的长度。同样的,在所示的实施方式中,侧面612c~612g的长度可短于所述单个长侧面614c的长度。
图6B根据文中提供的实施方式,图示处理工具600b的另一个实例的俯视图,处理工具600b类似于图6A的处理工具600a,但所述处理工具600b在沿着第一传送腔室102a或第二传送腔室102b任一者处并未使用长侧面。参照图6B,图中将第一传送腔室102a和第二传送腔室102b分别绘示成八边形(八个侧面),总共有16个侧面612a~612p,侧面612a~612p的长度相同。第一传送腔室102a经由装载锁定腔室608a、装载锁定腔室608b耦接至工厂接口604,且第一传送腔室102a经由一个或更多个通路单元610a、通路单元610b耦接至第二传送腔室102b。图中示出处理腔室616a~处理腔室616j耦接至处理工具600b的侧面612c、侧面612d、侧面612h、侧面612g、侧面612k、侧面612l、侧面612m、侧面612n、侧面612o、侧面612p。在其他实施方式中,额外的传送腔室可与额外的通路单元耦接以供连接任意数量的处理腔室。
在图6A和图6B各自的实施方式中,处理工具600a和处理工具600b包括一个或更多个装载锁定腔室(例如,装载锁定腔室608a~装载锁定腔室608c)、通路单元(例如,通路单元606、通路单元610a、通路单元610b)、耦接在所述一个或更多个装载锁定腔室(例如,装载锁定腔室608a~608c)与所述通路单元(例如,通路单元606、通路单元610a、通路单元610b)之间的第一传送腔室(例如,第一传送腔室102a),和耦接至所述通路单元(例如,通路单元606、通路单元610a、通路单元610b)的第二传送腔室(例如,第二传送腔室102b)。处理工具600a及处理工具600b各自的侧面总数量加起来至少有10个,这些侧面被配置成接收位于第一传送腔室102a与第二传送腔室102b之间的处理腔室。分别使用单个机械手(例如,机械手214a、机械手214b,图中以虚线圆圈来表示)执行第一传送腔室102a和第二传送腔室102b各自内部的传送作业。
在另一方面中,提供一种半导体装置处理方法。所述方法包括提供传送腔室(例如,传送腔室102、传送腔室102a),所述传送腔室具有:至少一个第一侧面(例如,单个侧面504或第一组侧面504a~104c),所述至少一个第一侧面具有第一宽度,且所述至少一个第一侧面耦接至一个或更多个基板传送单元(例如,一个或更多个装载锁定腔室,或一个或更多个通路单元606);和至少第二组侧面,所述至少第二组侧面具有第二宽度,且所述第二宽度与所述第一宽度不同,所述第二组侧面耦接至多个处理腔室,其中所述传送腔室的侧面的总数量为至少7个,但也可为8个、9个或更多个。所述方法进一步包括在所述传送腔室内例如使用单个机械手(例如,机械手214)在所述一个或更多个基板传送单元(例如,装载锁定腔室或通路单元606)与所述多个处理腔室中的至少一个腔室之间传送基板。
尽管以上主要针对7个、8个或9个侧面来进行说明,但应理解到,传送腔室102可包括任意适当数量的侧面,诸如10个侧面、11个侧面、12个侧面或诸如此类者,或可少于7个侧面。
以上说明内容仅披露本发明的示例性实施方式。落入本发明范围内的以上披露的设备、系统及方法的诸多修饰方面,对于本领域的普通技术人员来说将是显而易见的。因此,尽管已结合多个示例性实施方式来披露本发明,但应理解本发明的范围尚可涵盖诸多其他实施方式,且本发明的范围由后附权利要求所限定。

Claims (20)

1.一种处理工具,包括:
一个或更多个装载锁定腔室;
通路单元;
第一传送腔室,所述第一传送腔室耦接在所述一个或更多个装载锁定腔室与所述通路单元之间;和
第二传送腔室,所述第二传送腔室耦接至所述通路单元;
其中配置用来接收位于所述第一传送腔室与所述第二传送腔室之间的处理腔室的侧面的总数量为至少10个,并且分别使用位于所述第一传送腔室中的第一单个机械手和位于所述第二传送腔室中的第二单个机械手执行所述第一传送腔室和所述第二传送腔室各自内部的传送作业。
2.如权利要求1所述的处理工具,其中所述通路单元包括两个通路位置。
3.如权利要求1所述的处理工具,其中所述通路单元包括三个通路位置。
4.如权利要求1所述的处理工具,其中所述第一传送腔室包括第一长侧面,所述第一长侧面被配置成耦接至接口单元。
5.如权利要求1所述的处理工具,其中所述第一传送腔室包括第二长侧面,所述第二长侧面被配置成耦接至所述通路单元。
6.如权利要求1所述的处理工具,其中所述第一传送腔室的侧面的总数量为六个。
7.如权利要求1所述的处理工具,其中所述第二传送腔室的侧面的总数量为八个或更多个。
8.如权利要求1所述的处理工具,其中所述第一传送腔室被配置成耦接至接口单元。
9.如权利要求8所述的处理工具,其中所述接口单元包括后接口开口,所述后接口开口被配置成允许在工厂接口与所述接口单元之间传送基板。
10.如权利要求9所述的处理工具,其中所述接口单元包括前区域,所述前区域配置成与所述第一传送腔室的延伸侧面耦接。
11.如权利要求8所述的处理工具,其中所述接口单元包括设置在所述接口单元内的多个接口侧面,并且所述多个接口侧面各自包括狭缝开口,所述狭缝开口配置成适于在所述第一传送腔室与所述一个或更多个装载锁定腔室之间进行基板传送。
12.如权利要求8所述的处理工具,其中所述接口单元包括定位在所述接口单元内的除气腔室或其他处理腔室。
13.一种接口单元,包括:
接口主体,所述接口主体包括:前区域,所述前区域包括多个接口侧面,所述前区域配置成耦接至传送腔室;和后区域,所述后区域配置成耦接至工厂接口;和
三个装载锁定腔室,所述三个装载锁定腔室形成在所述接口主体中。
14.如权利要求13所述的接口单元,包括位于所述三个装载锁定腔室的每一个中的两个装载锁定腔室。
15.如权利要求13所述的接口单元,包括后接口开口,所述后接口开口被配置成允许在所述工厂接口与所述接口单元之间传送基板。
16.如权利要求13所述的接口单元,其中所述前区域包括三个接口侧面,所述接口侧面配置成与所述传送腔室的第一组侧面耦接。
17.如权利要求13所述的接口单元,包括设置在所述接口单元内的多个接口侧面,所述多个接口侧面各自包括狭缝开口,所述狭缝开口配置成适于在所述传送腔室与所述三个装载锁定腔室之间进行基板传送。
18.如权利要求13所述的接口单元,包括:第一前接口侧面,所述第一前接口侧面包括第一狭缝开口;第二前接口侧面,所述第二前接口侧面包括第二狭缝开口;第三前接口侧面,所述第三前接口侧面包括第三狭缝开口,所述狭缝开口配置成适于在所述传送腔室与作为所述接口单元的一部分的所述三个装载锁定腔室之间进行基板传送。
19.如权利要求13所述的接口单元,其中所述前区域包括长侧面,所述长侧面配置成与所述传送腔室的延伸侧面耦接,其中所述长侧面比所述传送腔室的第二组侧面中的任一侧面长。
20.如权利要求13所述的接口单元,包括定位在所述接口单元内的除气腔室或其他处理腔室。
CN201910222186.8A 2013-11-04 2014-11-03 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法 Pending CN110085535A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361899862P 2013-11-04 2013-11-04
US61/899,862 2013-11-04
CN201480060288.XA CN105706227B (zh) 2013-11-04 2014-11-03 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201480060288.XA Division CN105706227B (zh) 2013-11-04 2014-11-03 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法

Publications (1)

Publication Number Publication Date
CN110085535A true CN110085535A (zh) 2019-08-02

Family

ID=53005262

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201910222186.8A Pending CN110085535A (zh) 2013-11-04 2014-11-03 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法
CN201480060288.XA Expired - Fee Related CN105706227B (zh) 2013-11-04 2014-11-03 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201480060288.XA Expired - Fee Related CN105706227B (zh) 2013-11-04 2014-11-03 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法

Country Status (6)

Country Link
US (3) US10971381B2 (zh)
JP (2) JP6466955B2 (zh)
KR (2) KR20210127823A (zh)
CN (2) CN110085535A (zh)
TW (2) TWI699850B (zh)
WO (1) WO2015066624A1 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6466955B2 (ja) 2013-11-04 2019-02-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 側面数増強対応移送チャンバ、半導体デバイスの製造処理ツール及び処理方法
CN106298583B (zh) * 2015-05-27 2019-12-03 中微半导体设备(上海)股份有限公司 处理腔、处理腔和真空锁组合以及基片处理系统
US20170352562A1 (en) * 2016-06-02 2017-12-07 Applied Materials, Inc. Dodecadon transfer chamber and processing system having the same
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10684159B2 (en) 2016-06-27 2020-06-16 Applied Materials, Inc. Methods, systems, and apparatus for mass flow verification based on choked flow
US11024531B2 (en) 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
US10361099B2 (en) 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US11107709B2 (en) 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
JP7316121B2 (ja) 2019-07-05 2023-07-27 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法
CN211879343U (zh) * 2020-04-10 2020-11-06 北京北方华创微电子装备有限公司 一种半导体加工设备
US11996307B2 (en) 2020-12-23 2024-05-28 Applied Materials, Inc. Semiconductor processing tool platform configuration with reduced footprint
CN112786507A (zh) * 2021-01-13 2021-05-11 上海陛通半导体能源科技股份有限公司 模块化半导体设备传输腔体单元及晶圆传输系统
US20230317478A1 (en) * 2022-03-11 2023-10-05 Applied Materials, Inc. Modular multi-chamber processing tool having link chamber for ultra high vaccum processes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
WO2013120054A1 (en) * 2012-02-10 2013-08-15 Brooks Automation, Inc. Substrate processing apparatus

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
ES2090893T3 (es) 1993-01-28 1996-10-16 Applied Materials Inc Aparato de tratamiento en vacio que tiene una capacidad de produccion mejorada.
JP3748940B2 (ja) 1995-03-20 2006-02-22 東京エレクトロン株式会社 位置決め装置,処理システムおよび位置決め方法
TW372926B (en) * 1996-04-04 1999-11-01 Applied Materials Inc Method and system of processing semiconductor workpieces and robot for use in said system
US5863170A (en) 1996-04-16 1999-01-26 Gasonics International Modular process system
JP3454034B2 (ja) 1996-09-13 2003-10-06 株式会社日立製作所 真空処理装置
JPH10135301A (ja) * 1996-10-30 1998-05-22 Hitachi Techno Eng Co Ltd ウエハ処理装置
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US6575737B1 (en) 1997-06-04 2003-06-10 Applied Materials, Inc. Method and apparatus for improved substrate handling
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6190103B1 (en) 1999-03-31 2001-02-20 Gasonics International Corporation Wafer transfer device and method
US6309116B1 (en) * 1999-06-09 2001-10-30 Tokyo Electron Limited Substrate processing system
US6629053B1 (en) 1999-11-22 2003-09-30 Lam Research Corporation Method and apparatus for determining substrate offset using optimization techniques
KR100462237B1 (ko) 2000-02-28 2004-12-17 주성엔지니어링(주) 기판 냉각장치를 가지는 반도체 소자 제조용 클러스터 장비
US6582175B2 (en) * 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
WO2001096972A2 (en) 2000-06-14 2001-12-20 Applied Materials, Inc. Methods and apparatus for maintaining a pressure within an environmentally controlled chamber
US6562141B2 (en) * 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
JP2003022950A (ja) * 2001-07-05 2003-01-24 Canon Inc X線光源用デブリ除去装置及び、デブリ除去装置を用いた露光装置
US8796589B2 (en) 2001-07-15 2014-08-05 Applied Materials, Inc. Processing system with the dual end-effector handling
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US6672864B2 (en) 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
JP2003115518A (ja) 2001-10-02 2003-04-18 Hitachi Kokusai Electric Inc 基板処理装置
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
US7681521B2 (en) * 2002-11-18 2010-03-23 Tokyo Electron Limited Insulation film formation device
JP2004235538A (ja) * 2003-01-31 2004-08-19 Tokyo Electron Ltd 搬送装置,真空処理装置およびoリング
TWI367192B (en) 2003-11-13 2012-07-01 Applied Materials Inc Calibration of high speed loader to substrate transport system
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
KR20070014277A (ko) * 2005-07-28 2007-02-01 삼성전자주식회사 반도체 제조설비
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
JP4925650B2 (ja) * 2005-11-28 2012-05-09 東京エレクトロン株式会社 基板処理装置
CN101341574B (zh) 2005-12-20 2012-11-28 应用材料公司 用于半导体设备制造装备的延伸主机设计
US8734720B2 (en) * 2006-03-29 2014-05-27 Michael J. Nichols Automated testing system arrangements using docking station
US7652227B2 (en) 2006-05-18 2010-01-26 Applied Materials, Inc. Heating and cooling plate for a vacuum chamber
US20080175694A1 (en) * 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
JP4970128B2 (ja) * 2007-04-27 2012-07-04 日本電産サンキョー株式会社 産業用ロボット及び集合処理装置
TWI626705B (zh) 2007-05-08 2018-06-11 布魯克斯自動機械公司 具有使用機械轉換機構之複數可動臂的基板運送裝置
JP5795162B2 (ja) * 2007-05-18 2015-10-14 ブルックス オートメーション インコーポレイテッド ロードロック高速排気および通気
WO2009055507A1 (en) 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
JP2010034505A (ja) * 2008-06-30 2010-02-12 Canon Anelva Corp 積層ロードロックチャンバおよびそれを備えた基板処理装置
JP2010074073A (ja) * 2008-09-22 2010-04-02 Hitachi Kokusai Electric Inc 基板処理装置
US8602706B2 (en) * 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
JP2013544034A (ja) * 2010-11-10 2013-12-09 ブルックス オートメーション インコーポレイテッド 双腕ロボット
WO2012098871A1 (ja) * 2011-01-20 2012-07-26 東京エレクトロン株式会社 真空処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
WO2013023092A2 (en) * 2011-08-10 2013-02-14 Applied Materials, Inc Robot systems, apparatus, and methods adapted to process substrates in multiple tiers
JP2015514019A (ja) 2012-04-12 2015-05-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 独立して回転可能なウェストを有するロボットシステム、装置、および方法
US9640416B2 (en) * 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
WO2014163791A1 (en) * 2013-03-12 2014-10-09 Applied Materials, Inc Semiconductor device manufacturing platform with single and twinned processing chambers
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
US20140261168A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Multiple chamber module and platform in semiconductor process equipment
WO2014150260A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
KR101734821B1 (ko) 2013-03-15 2017-05-12 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조시 기판들을 프로세싱하도록 적응된 프로세싱 시스템들, 장치, 및 방법들
US9196514B2 (en) 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
US9435025B2 (en) 2013-09-25 2016-09-06 Applied Materials, Inc. Gas apparatus, systems, and methods for chamber ports
KR102161685B1 (ko) 2013-09-26 2020-10-05 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들
WO2015048470A1 (en) 2013-09-30 2015-04-02 Applied Materials, Inc Transfer chamber gas purge apparatus, electronic device processing systems, and purge methods
JP6466955B2 (ja) 2013-11-04 2019-02-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 側面数増強対応移送チャンバ、半導体デバイスの製造処理ツール及び処理方法
US9698041B2 (en) 2014-06-09 2017-07-04 Applied Materials, Inc. Substrate temperature control apparatus including optical fiber heating, substrate temperature control systems, electronic device processing systems, and methods
KR102164611B1 (ko) 2014-07-02 2020-10-12 어플라이드 머티어리얼스, 인코포레이티드 매립형 광섬유들 및 에폭시 광학 확산기들을 사용하는 기판들의 온도 제어를 위한 장치, 시스템들, 및 방법들
JP6608923B2 (ja) 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド 溝に経路指定された光ファイバーによる加熱を含む温度制御装置、基板温度制御システム、電子デバイス処理システム、及び処理方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
WO2013120054A1 (en) * 2012-02-10 2013-08-15 Brooks Automation, Inc. Substrate processing apparatus

Also Published As

Publication number Publication date
TW201523779A (zh) 2015-06-16
US20160225646A1 (en) 2016-08-04
JP2019083327A (ja) 2019-05-30
TW201941347A (zh) 2019-10-16
US20210143034A1 (en) 2021-05-13
CN105706227B (zh) 2019-11-26
US20190214284A1 (en) 2019-07-11
US10971381B2 (en) 2021-04-06
JP2017500755A (ja) 2017-01-05
WO2015066624A1 (en) 2015-05-07
KR20210127823A (ko) 2021-10-22
US11087998B2 (en) 2021-08-10
JP6466955B2 (ja) 2019-02-06
TWI658531B (zh) 2019-05-01
KR20160083084A (ko) 2016-07-11
CN105706227A (zh) 2016-06-22
TWI699850B (zh) 2020-07-21

Similar Documents

Publication Publication Date Title
CN105706227B (zh) 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法
JP6907166B2 (ja) 半導体処理ツール
JP6843493B2 (ja) 基板処理装置
TWI618177B (zh) 於負載鎖位置中處理基板之處理負載鎖設備、升降組件、電子裝置處理系統以及方法
US8216379B2 (en) Non-circular substrate holders
DE202016104588U1 (de) Mehrkammersystem für chemische Gasphasenabscheidung
TW201515140A (zh) 用於腔室接口的氣體裝置、系統及方法
CN105556652A (zh) 使传输中衬底居中的处理设备
KR20140133534A (ko) 기판 프로세싱 장치
KR101764444B1 (ko) 모듈식 반도체 처리 시스템
TWI721937B (zh) 鈷基板之處理系統、裝置、及方法
KR101891383B1 (ko) 처리 챔버, 처리 챔버와 로드락의 조합 및 기판 처리 시스템
CN208674075U (zh) 多腔室晶圆处理设备
EP1189275A1 (en) Substrate transfer device
CN116137930A (zh) 用于半导体处理系统的分配部件
TWI240349B (en) Cluster type asher equipment used for manufacture of semiconductor device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20190802

WD01 Invention patent application deemed withdrawn after publication