US20210143034A1 - Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods - Google Patents

Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods Download PDF

Info

Publication number
US20210143034A1
US20210143034A1 US17/248,395 US202117248395A US2021143034A1 US 20210143034 A1 US20210143034 A1 US 20210143034A1 US 202117248395 A US202117248395 A US 202117248395A US 2021143034 A1 US2021143034 A1 US 2021143034A1
Authority
US
United States
Prior art keywords
facets
chamber
processing
load lock
transfer chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US17/248,395
Inventor
Michael Robert Rice
Michael Meyers
John J. Mazzocco
Dean C. Hruzek
Michael Kuchar
Sushant S. Koshti
Penchala N. Kankanala
Eric A. Englhardt
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US17/248,395 priority Critical patent/US20210143034A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: RICE, MICHAEL ROBERT, KANKANALA, PENCHALA N., KUCHAR, MICHAEL, ENGLHARDT, ERIC A., KOSHTI, SUSHANT S., MEYERS, MICHAEL, HRUZEK, DEAN C., MAZZOCCO, JOHN J.
Publication of US20210143034A1 publication Critical patent/US20210143034A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Definitions

  • the present disclosure relates to semiconductor device manufacturing, and more specifically to semiconductor device manufacturing platform configurations.
  • Manufacturing of semiconductor devices involves performing a sequence of procedures with respect to a substrate or “wafer” such as a silicon substrate, a glass plate, and the like. These steps may include polishing, deposition, etching, photolithography, heat treatment, and so forth. Usually a number of different processing steps may be performed in a single processing system or “tool” that includes a plurality of processing chambers. However, it is generally the case that other processes are performed at other processing locations within a fabrication facility, and it is accordingly necessary that substrates be transported within the fabrication facility from one processing location to another. Depending on the type of semiconductor device to be manufactured, there may be a relatively large number of processing steps employed, to be performed at many different processing locations within the fabrication facility.
  • substrate carriers such as sealed pods, cassettes, containers, and so forth. It is also conventional to employ automated substrate carrier transport devices, such as automatic guided vehicles, overhead transport systems, substrate carrier handling robots, and the like, to move substrate carriers from location to location within the fabrication facility or to transfer substrate carriers from or to a substrate carrier transport device.
  • automated substrate carrier transport devices such as automatic guided vehicles, overhead transport systems, substrate carrier handling robots, and the like, to move substrate carriers from location to location within the fabrication facility or to transfer substrate carriers from or to a substrate carrier transport device.
  • Such transport of substrates typically involves exposing the substrates to room air, or at least to non-vacuum conditions. Either may expose the substrates to an undesirable environment (e.g., oxidizing species) and/or other contaminants.
  • an undesirable environment e.g., oxidizing species
  • a substrate processing system includes a factory interface and a transfer chamber, which includes four first facets adapted for attachment to one or more first processing chambers and three second facets, wherein each of the three second facets has a width that is narrower than that of each of the four first facets.
  • the system further includes a second processing chamber having a first interface attached to a first of the three second facets.
  • the system further includes a load lock attached to a second of the three second facets, the load lock also attached to the factory interface.
  • the system further includes a robot attached to a bottom of the transfer chamber, the robot adapted to transfer substrates to and from the one or more first processing chambers, the second processing chamber, and the load lock.
  • a substrate processing system in another aspect, includes a transfer chamber having four first facets adapted for attachment to one or more first processing chambers and three second facets, wherein each of the three second facets has a width that is narrower than that of each of the four first facets.
  • the system further includes a single robot attached to a bottom of the transfer chamber and adapted to pass substrates through the four first facets and the three second facets.
  • the system further includes an interface unit comprising an integral unit body that comprises: a mating piece having three interface sides to attach to the three second facets; a second processing chamber having a first of the three interface sides; a load lock having a second of the three interface sides; and a third processing chamber having a third of the three interface sides.
  • a mainframe for a semiconductor manufacturing device includes a transfer chamber.
  • the transfer chamber includes a bottom and four first facets attached to the bottom, wherein each of the first four facets is adapted for attachment to a first processing chamber.
  • the transfer chamber includes two second facets attached to the bottom, wherein each of the two second facets has a width that is narrower than that of each of the four first facets and is adapted for attachment to a second processing chamber that is smaller than the first processing chamber.
  • the transfer chamber further includes a single third facet attached to the bottom, wherein the single third facet is adapted for attachment to a load lock.
  • the transfer chamber further includes a robot attached to the bottom, the robot adapted to transfer substrates to and from each first processing chamber, each second processing chamber, and the load lock.
  • FIGS. 1A-1B illustrates top schematic views of an example processing tool provided in accordance with embodiments.
  • FIGS. 2A-2B illustrates an isometric view and top plan view, respectively, of an example embodiment of the transfer chamber of FIGS. 1A-1B , in accordance with embodiments.
  • FIGS. 2C-2D illustrates an isometric view and top plan view, respectively, of a transfer chamber of FIGS. 1A-1B having a robot disposed therein, in accordance with embodiments.
  • FIGS. 3A-3B illustrates an isometric view and top plan view, respectively, of the transfer chamber of FIGS. 1A-1B having an interface unit coupled to the transfer chamber, in accordance with embodiments.
  • FIGS. 3C-3D illustrates top and bottom isometric views, respectively, of the interface unit of FIGS. 3A-3B , in accordance with embodiments.
  • FIGS. 4A-4B illustrates an isometric view and top plan view, respectively, of the transfer chamber of FIGS. 1A-1B having three load lock chambers directly coupled to a first set of sides of the transfer chamber, in accordance with embodiments.
  • FIGS. 5A-5B illustrates an isometric view and top plan view, respectively, of an alternative transfer chamber, in accordance with embodiments.
  • FIGS. 5C-5D illustrates an isometric view and top plan view, respectively, of the transfer chamber of FIGS. 5A-5B having an interface unit coupled to the transfer chamber, in accordance with embodiments.
  • FIGS. 5E-5F illustrates top and bottom isometric views, respectively, of the interface unit of FIGS. 5A-B , in accordance with embodiments.
  • FIG. 6A illustrates a top view of an example processing tool in which two transfer chambers may be coupled together to provide additional sides for processing chambers, in accordance with embodiments.
  • FIG. 6B illustrates a top view of an additional example processing tool in which two transfer chambers are coupled together to provide additional sides for processing chambers, in accordance with embodiments.
  • a semiconductor device manufacturing platform such as a tool and/or mainframe (referred to herein as a “processing tool” or “tool”), is provided that includes a transfer chamber including an increased number of locations (e.g., facets) for attaching or otherwise coupling processing chambers and substrate transfer units (e.g., one or more load locks and possibly one or more pass-through units). For example, in some embodiments, at least seven, at least eight, or even nine or more attachment locations may be provided in a transfer chamber within a single tool.
  • locations e.g., facets
  • substrate transfer units e.g., one or more load locks and possibly one or more pass-through units.
  • at least seven, at least eight, or even nine or more attachment locations may be provided in a transfer chamber within a single tool.
  • Providing additional attachment locations increases a number of processing steps that may be performed at a single tool, may increase throughput by allowing for chamber redundancy (e.g., allowing multiple versions of the same processing chambers to be used in parallel) and allows substrates to remain under vacuum conditions during a larger portion of a manufacturing process.
  • FIGS. 1A-6B These and other embodiments are described below with reference to FIGS. 1A-6B .
  • FIGS. 1A-1B illustrate top schematic plan views of an example processing tool 100 provided in accordance with embodiments of the disclosure.
  • the processing tool 100 includes a transfer chamber 102 having a plurality of sides (or facets) 104 a - 104 i forming a transfer chamber 102 having a nonagon shape.
  • Other shapes and/or numbers of sides may be employed (e.g., forming a closed polygon).
  • a first set of sides 104 a , 104 b and 104 c are narrower than the remaining second set of sides 104 d - 104 i .
  • First set of sides 104 a , 104 b and 104 c are employed to couple the transfer chamber 102 to one or more substrate transfer units, such as load locks 108 (e.g., one, two, or three load locks, for example) that couple to a factory interface 106 .
  • the remaining second set of sides 104 d - 104 di may couple to processing chambers 110 a - 110 f .
  • the first set of sides 104 a , 104 b and 104 c may each have a width of approximately 450 mm to 550 mm, and/or the second set of sides 104 d - 104 i may each have a width of approximately 650 mm to 950 mm.
  • the first set of sides 104 a , 104 b , 104 c and/or the second set of sides 104 d - 104 i may range from about 450 mm to 950 mm and/or may be the same size.
  • Other widths may be employed for first set of sides 104 a - 104 c and/or second set of sides 104 d - 104 i , as may different widths for different sides.
  • processing chambers 110 a , 110 c , 110 e and 110 f may be similar processing chambers, such as epitaxial deposition chambers, while processing chambers 110 b and 110 d may be a different type of processing chamber, such as etch chambers.
  • the different type is indicated by the footprint occupied by processing chambers 110 a , 110 c , 110 e and 110 f being different than the footprint occupied by processing chambers 110 b and 110 d .
  • Other configurations, number and/or types of processing chambers may be employed.
  • processing chambers 110 a - 110 f may be coupled to transfer chamber 102 via chamber interfaces 112 a - 112 f, respectively.
  • chamber interfaces 112 a - 112 f may include, for example, pass throughs, slit or gate valves, or the like, not separately shown.
  • chamber interfaces 112 b and 112 d are shown as having a greater depth than chamber interfaces 112 a , 112 c , 112 e and 112 f.
  • the chamber interfaces 112 b and 112 d may have a depth of about 260 mm to about 320 mm, and/or the chamber interfaces 112 a , 112 c , 112 e and 112 f may have a depth of about 160 mm to about 260 mm.
  • different ones of the second sets of sides 104 a - 10 f may have chamber interfaces 112 a - 112 f having different depths.
  • Other depths of the chamber interfaces 112 a - 112 f may be employed.
  • the factory interface 106 is configured to receive one or more substrate carriers 114 a - 114 d for supplying substrates to the processing chambers 110 a - f. While four substrate carriers are shown in FIGS. 1A-1B , it will be understood that the factory interface 106 may receive and/or be configured to receive more or fewer substrate carriers. In the embodiment of FIGS. 1A-1B , the geometrical center of the factory interface 106 is offset laterally by a distance “O” from a geometrical center of the transfer chamber 102 in order to provide additional access to the transfer chamber 102 . In other embodiments, however, other or no offset may be provided.
  • FIGS. 2A-2B illustrates an isometric view and top plan view, respectively, of an example embodiment of the transfer chamber 102 , in accordance with embodiments provided herein.
  • transfer chamber 102 includes slit openings 202 a - 202 b in a first side 104 b and openings 204 a - 204 b through the other sides 102 a , 104 c of the first set of sides for interfacing with up to three substrate transfer units, such as load locks (single, batch or stacked load locks, for example, not separately shown).
  • Slit openings 202 a - 202 b may be sized to allow an end effector to pass from the transfer chamber 102 into a load lock positioned in front of the slit openings 202 a - 202 b .
  • openings 204 a - 204 b may be sized larger than the slit openings 202 a - 202 b to allow a wrist or other portion of a robot to extend through the transfer chamber 102 for reaching upper and lower (e.g., stacked) load locks positioned further from the transfer chamber 102 .
  • Example dimensions for the slit openings 202 a - 202 b are about 45 mm ⁇ 400 mm to about 65 mm ⁇ 600 mm.
  • Example dimensions for the openings 204 a - 204 b are about 280 mm ⁇ 400 mm to about 430 mm ⁇ 600 mm. Other dimensions may be used for any of the slit openings 202 a - 202 b and/or openings 204 a - 204 b.
  • second set of sides 104 d - 104 i include second openings 206 a - 206 f , respectively, which allow a robot to transfer substrates between the transfer chamber 102 and processing chambers (e.g., 110 a - 110 f ) that are coupled to the transfer chamber 102 .
  • the second openings 206 a - 206 f may be enlarged to allow a portion of a robot (e.g., a wrist or other portion) to extend through the transfer chamber 102 during such transfers.
  • Example dimensions for the second openings 206 a - 206 f are about 180 mm ⁇ 400 mm to about 270 mm ⁇ 600 mm. Other dimensions may be used for the second openings 206 a - 206 f.
  • an upper lid 208 of the transfer chamber 102 may be provided with extra material in regions between the second openings 206 a - 206 f .
  • a rib 210 may be provided between each opening 206 a -f and/or material may be removed in regions 212 in front of each second opening 206 a - 206 f .
  • each rib 210 may extend about 20-30 mm further into the transfer chamber region than regions 212 .
  • Other rib sizes and/or configurations may be employed.
  • FIGS. 2C-2D illustrates an isometric view and top plan view, respectively, of an example embodiment of the transfer chamber 102 having a robot 214 disposed therein, in accordance with embodiments provided herein.
  • the second openings 206 a - 206 f may be sized to accommodate a wrist 216 of robot 214 so that robot 214 may extend further through the set of second sides 104 d - 104 i of the transfer chamber 102 during substrate transfer operations.
  • transfer chamber 102 may include one or more pump openings 218 for additional vacuum pumps (e.g., a cryogenic pump or similar device).
  • the robot 214 may be a dual-arm and/or offset-axis robot. Other robots may be employed.
  • FIGS. 3A-3B illustrates an isometric view and top plan view, respectively, of an example embodiment of the transfer chamber 102 including an interface unit 302 coupled to the transfer chamber 102 , in accordance with embodiments provided herein.
  • the interface unit 302 is configured to allow the transfer chamber 102 to interface with up to three load locks (e.g., single or batch load locks, stacked load locks, or the like). All or a portion of the up to three load locks may be formed by the interface unit 302 in some embodiments.
  • a degas or other processing chamber may be positioned above (or within) the interface unit 302 , such as above (or within) load lock chambers 304 a and/or 304 b .
  • Rear openings 305 a- 305 c allow transfer of substrates between factory interface 106 and interface unit 302 .
  • Interface unit 302 may be coupled to the first set of sides 104 a - 104 c and to the factory interface 106 by any suitable means such as fasteners (e.g., bolts, screws, or the like).
  • FIGS. 3C-3D are top and bottom isometric views, respectively, of an example embodiment of the interface unit 302 , in accordance with embodiments provided herein.
  • a front region of the interface unit 302 includes front interface sides 306 a - 306 c that may couple with first set of sides 104 a - 104 c of transfer chamber 102 ( FIG. 1A ), respectively.
  • first front interface side 306 a may include first slit openings 308 a , 308 b
  • second front interface side 306 b may include second slit openings 310 a , 310 b
  • third front interface side 306 c may include third slit openings 312 a , 312 b for accommodating substrate transfers between the transfer chamber 102 and load locks (and/or degas/processing chambers) that are part of (or coupled to) the interface unit 302 .
  • load lock chambers 314 a - 314 c provide up to three load locks (e.g., single load locks, batch load locks, stacked load locks, etc.) coupled to the transfer chamber 102 .
  • FIGS. 4A-4B illustrates an isometric view and top plan view, respectively, of an example embodiment of the transfer chamber 102 having three load locks 402 a , 402 b and 402 c directly coupled to the set of sides 104 a , 104 b , and 104 c , respectively, the transfer chamber 102 , in accordance with embodiments provided herein.
  • the load locks 402 a - 402 c may be single or batch load locks and/or stacked load locks, and/or may include a degas or other processing chamber. Fewer than three load locks may be employed.
  • FIGS. 5A-5B illustrates an isometric view and top plan view, respectively, of an alternative embodiment of the transfer chamber 102 , in accordance with embodiments provided herein.
  • the narrower first set of sides 104 a , 104 b and 104 c ( FIG. 1A ) are replaced with a single side 504 that is relatively longer in width.
  • Single side 504 may have a length Ls that may be longer than a length of any of the second set of sides 104 d - 104 i .
  • Such a design may simply the interface between the transfer chamber 102 and one or more load locks and/or degas/process chambers as described below with reference to FIGS. 5C-5F .
  • FIGS. 5C-5D illustrates an isometric view and top plan view, respectively, of an example embodiment of the transfer chamber 102 of FIGS. 5A-5B having an interface unit 506 coupled to the transfer chamber 102 , in accordance with embodiments provided herein.
  • the interface unit 506 allows the transfer chamber 102 to interface with up to three load locks (e.g., single or batch load locks, stacked load locks, or the like. All or a portion of the up to three load locks may be formed by the interface unit 506 in some embodiments.
  • a degas or other processing chamber may be positioned above or within the interface unit 506 , such as above or within interface unit opening 508 a and/or interface unit opening 508 b .
  • Rear interface openings 509 a - 509 c allow transfer of substrates between factory interface 106 and interface unit 506 .
  • FIGS. 5E-5F are top and bottom isometric views, respectively, of an example embodiment of the interface unit 506 , in accordance with embodiments provided herein.
  • a front region 510 of the interface unit 506 may couple with the single side 504 of transfer chamber 102 ( FIG. 5A ).
  • multiple interface sides e.g., interface sides 512 a , 512 b and 512 c ) are provided within the interface unit 506 ; and interface side 512 a may include slit openings 514 a , 514 b , interface side 512 b may include slit openings 516 a ( FIG.
  • interface side 512 c may include slit openings 518 a , 518 b for accommodating substrate transfers between the transfer chamber 102 and load locks (and/or degas/processing chambers) that are part of (or coupled to) the interface unit 506 .
  • interface openings 520 a - 520 c provide up to three load locks (e.g., single load locks, batch load locks, stacked load locks, etc.) coupled to the transfer chamber 102 .
  • load locks e.g., single load locks, batch load locks, stacked load locks, etc.
  • other numbers of load locks may be provided, as well as other numbers of interface sides.
  • FIG. 6A illustrates a top view of an example processing tool 600 a in which first and second transfer chambers 102 a , 102 b may be coupled together to provide additional sides for coupling of processing chambers, in accordance with embodiments provided herein.
  • the processing tool 600 a includes an interface unit 602 that couples the first transfer chamber 102 a to a factory interface 604 .
  • a substrate transfer device, such as a pass-through unit 606 couples the second transfer chamber 102 b to the first transfer chamber 102 a.
  • the interface unit 602 allows up to three or more substrate transfer devices, such as up to three or more load locks 608 a - 608 c (and/or degas/processing chambers) to supply substrates to the first transfer chamber 102 a .
  • Pass-through unit 606 includes three pass-through locations 610 a - 610 c , which may serve as hand-off locations for substrate transfers between the first and second transfer chambers 102 a , 102 b . In some embodiments, fewer pass-through locations may be employed. Furthermore, in some embodiments, pass-through locations 610 a - 610 c may be capable of performing substrate processing such as degas, annealing, cooling, or the like. Other processes may take place at the pass-through locations 610 a - 610 c.
  • the processing tool 600 a provides up to ten sides (facets) 612 a - 612 j to which processing chambers may be coupled. In other embodiments, additional transfer chambers may be coupled with the addition of other pass-through units to provide any number of linked processing chambers.
  • first transfer chamber 102 a includes first elongated side 614 a configured to couple to interface unit 602 and a second elongated side 614 b opposite the first elongated side 614 a configured to couple to pass-through unit 606 .
  • Second transfer chamber 102 b includes a single elongated side 614 c for coupling to pass-through unit 606 .
  • second transfer chamber 102 b may include one or more additional elongated sides configured to couple to additional pass-through units (e.g., when one or more additional transfer chambers are to be employed).
  • sides 612 a , 612 b , 612 i, 612 j may be shorter in length than each of the first elongated side 614 a and the second elongated side 614 b .
  • the sides 612 c - 612 g may be shorter in length than the single elongated side 614 c.
  • FIG. 6B illustrates a top view of another example of a processing tool 600 b that is similar to the processing tool 600 a of FIG. 6A , but which does not employ elongated sides along either of the first or second transfer chamber 102 a , 102 b , in accordance with embodiments provided herein.
  • each of the first and second transfer chambers 102 a , 102 b is illustrated as being octagon shaped (eight-sided), for a total of sixteen sides 612 a - 612 p , which may be of equal length.
  • First transfer chamber 102 a is coupled to factory interface 604 via load locks 608 a , 608 b , and to second transfer chamber 102 b via one or more pass-through units 610 a , 610 b .
  • Processing chambers 616 a - 616 j are shown coupled to sides 612 c , 612 d , 612 h , 612 g , 612 k, 612 l, 612 m , 612 n, 612 o, 612 p of the processing tool 600 b .
  • additional transfer chambers may be coupled with additional pass-through units to provide any number of linked processing chambers.
  • the processing tool 600 a , 600 b includes one or more load locks (e.g., load locks 608 a - 608 c ), a pass-through unit (e.g., pass-through unit 606 , 610 a , 610 b ), a first transfer chamber (e.g., first transfer chamber 102 a ) coupled between the one or more load locks (e.g., load locks 608 a - 608 c ) and the pass-through unit (e.g., pass-through unit 606 , 610 a , 610 b ), and a second transfer chamber (e.g., second transfer chamber 102 b ) coupled to the pass-through unit (e.g., pass-through unit 606 , 610 a , 610 b ).
  • load locks e.g., load locks 608 a - 608 c
  • a pass-through unit e.g., pass-through unit 606 , 610 a ,
  • a total number of sides in each process tool 600 a , 600 b that are configured to receive process chambers between the first transfer chamber 102 a and the second transfer chamber 102 b , in sum, is at least ten. Transfers within each of the first transfer chamber 102 a and the second transfer chamber 102 b are each serviceable by a single robot (e.g., robots 214 a , 214 b —shown as dotted circles).
  • a method of semiconductor device processing includes providing a transfer chamber (e.g., transfer chamber 102 , 102 a ) having least one first side (e.g., single side 504 or first set of sides 504 a - 104 c ) of a first width coupled to one or more substrate transfer units (e.g., one or more load locks or one or more pass-through units 606 ) and at least a second set of sides of a second width that is different than the first width, the second set of sides coupled to a plurality of processing chambers, wherein a total number of sides of the transfer chamber is at least seven, but may be eight, nine, or more.
  • a transfer chamber e.g., transfer chamber 102 , 102 a
  • first side e.g., single side 504 or first set of sides 504 a - 104 c
  • substrate transfer units e.g., one or more load locks or one or more pass-through units 606
  • a second set of sides of a second width
  • the method further includes transferring substrates between the one or more substrate transfer units (e.g., load locks or pass-through units 606 ) and at least one of the plurality of processing chambers (e.g., with a single robot (e.g., robot 214 in the transfer chamber.
  • substrate transfer units e.g., load locks or pass-through units 606
  • at least one of the plurality of processing chambers e.g., with a single robot (e.g., robot 214 in the transfer chamber.
  • the transfer chamber 102 may include any suitable number of sides, such as ten sides, eleven sides, twelve sides, or the like, or fewer than seven sides.

Abstract

A substrate processing system includes a factory interface, a transfer chamber, and a robot. The transfer chamber includes four first facets adapted for attachment to one or more first processing chambers and three second facets, wherein each of the three second facets has a width that is narrower than that of each of the four first facets. The system includes a second processing chamber having a first interface attached to a first of the three second facets and a load lock attached to a second of the three second facets and to the factory interface. The system also includes a robot attached to a bottom of the transfer chamber, the robot adapted to transfer substrates to and from the one or more first processing chambers, the second processing chamber, and the load lock.

Description

    RELATED APPLICATION
  • This is a continuation application of, and claims priority from, U.S. patent application Ser. No. 15/029,502, filed Apr. 14, 2016, and entitled “TRANSFER CHAMBERS WITH AN INCREASED NUMBER OF SIDES, SEMICONDUCTOR DEVICE MANUFACTURING PROCESSING TOOLS, AND PROCESSING METHODS,” which is a national stage application filed under 35 U.S.C. § 371 of PCT Application No. PCT/US2014/063708, filed Nov. 3, 2014, and entitled “TRANSFER CHAMBERS WITH AN INCREASED NUMBER OF SIDES, SEMICONDUCTOR DEVICE MANUFACTURING PROCESSING TOOLS, AND PROCESSING METHODS,” which claims priority from U.S. Provisional Patent Application No. 61/899,862 filed Nov. 4, 2013, and entitled “SEMICONDUCTOR DEVICE MANUFACTURING PLATFORM WITH AN INCREASED NUMBER OF SIDES,” each of which is hereby incorporated by reference herein in its entirety for all purposes. This application is also a related sibling of U.S. patent application Ser. No. 16/359,561, entitled “TRANSFER CHAMBERS WITH AN INCREASED NUMBER OF SIDES, SEMICONDCUTOR DEVICE MANUFACTURING PROCESSING TOOLS, AND PROCESSING METHODS,” which is also incorporated by this reference.
  • FIELD
  • The present disclosure relates to semiconductor device manufacturing, and more specifically to semiconductor device manufacturing platform configurations.
  • BACKGROUND
  • Manufacturing of semiconductor devices involves performing a sequence of procedures with respect to a substrate or “wafer” such as a silicon substrate, a glass plate, and the like. These steps may include polishing, deposition, etching, photolithography, heat treatment, and so forth. Usually a number of different processing steps may be performed in a single processing system or “tool” that includes a plurality of processing chambers. However, it is generally the case that other processes are performed at other processing locations within a fabrication facility, and it is accordingly necessary that substrates be transported within the fabrication facility from one processing location to another. Depending on the type of semiconductor device to be manufactured, there may be a relatively large number of processing steps employed, to be performed at many different processing locations within the fabrication facility.
  • It is conventional to transport substrates from one processing location to another within substrate carriers such as sealed pods, cassettes, containers, and so forth. It is also conventional to employ automated substrate carrier transport devices, such as automatic guided vehicles, overhead transport systems, substrate carrier handling robots, and the like, to move substrate carriers from location to location within the fabrication facility or to transfer substrate carriers from or to a substrate carrier transport device.
  • Such transport of substrates typically involves exposing the substrates to room air, or at least to non-vacuum conditions. Either may expose the substrates to an undesirable environment (e.g., oxidizing species) and/or other contaminants.
  • SUMMARY
  • In one aspect, a substrate processing system includes a factory interface and a transfer chamber, which includes four first facets adapted for attachment to one or more first processing chambers and three second facets, wherein each of the three second facets has a width that is narrower than that of each of the four first facets. The system further includes a second processing chamber having a first interface attached to a first of the three second facets. The system further includes a load lock attached to a second of the three second facets, the load lock also attached to the factory interface. The system further includes a robot attached to a bottom of the transfer chamber, the robot adapted to transfer substrates to and from the one or more first processing chambers, the second processing chamber, and the load lock.
  • In another aspect, a substrate processing system includes a transfer chamber having four first facets adapted for attachment to one or more first processing chambers and three second facets, wherein each of the three second facets has a width that is narrower than that of each of the four first facets. The system further includes a single robot attached to a bottom of the transfer chamber and adapted to pass substrates through the four first facets and the three second facets. The system further includes an interface unit comprising an integral unit body that comprises: a mating piece having three interface sides to attach to the three second facets; a second processing chamber having a first of the three interface sides; a load lock having a second of the three interface sides; and a third processing chamber having a third of the three interface sides.
  • In another aspect, a mainframe for a semiconductor manufacturing device is provided. The mainframe includes a transfer chamber. The transfer chamber includes a bottom and four first facets attached to the bottom, wherein each of the first four facets is adapted for attachment to a first processing chamber. The transfer chamber includes two second facets attached to the bottom, wherein each of the two second facets has a width that is narrower than that of each of the four first facets and is adapted for attachment to a second processing chamber that is smaller than the first processing chamber. The transfer chamber further includes a single third facet attached to the bottom, wherein the single third facet is adapted for attachment to a load lock. The transfer chamber further includes a robot attached to the bottom, the robot adapted to transfer substrates to and from each first processing chamber, each second processing chamber, and the load lock.
  • Numerous other aspects are provided in accordance with these and other embodiments of the disclosure. Other features and aspects of embodiments of the present disclosure will become more fully apparent from the following detailed description, the appended claims, and the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The drawings, described below, are for illustrative purposes only and are not necessarily drawn to scale. The drawings are not intended to limit the scope of this disclosure in any way.
  • FIGS. 1A-1B illustrates top schematic views of an example processing tool provided in accordance with embodiments.
  • FIGS. 2A-2B illustrates an isometric view and top plan view, respectively, of an example embodiment of the transfer chamber of FIGS. 1A-1B, in accordance with embodiments.
  • FIGS. 2C-2D illustrates an isometric view and top plan view, respectively, of a transfer chamber of FIGS. 1A-1B having a robot disposed therein, in accordance with embodiments.
  • FIGS. 3A-3B illustrates an isometric view and top plan view, respectively, of the transfer chamber of FIGS. 1A-1B having an interface unit coupled to the transfer chamber, in accordance with embodiments.
  • FIGS. 3C-3D illustrates top and bottom isometric views, respectively, of the interface unit of FIGS. 3A-3B, in accordance with embodiments.
  • FIGS. 4A-4B illustrates an isometric view and top plan view, respectively, of the transfer chamber of FIGS. 1A-1B having three load lock chambers directly coupled to a first set of sides of the transfer chamber, in accordance with embodiments.
  • FIGS. 5A-5B illustrates an isometric view and top plan view, respectively, of an alternative transfer chamber, in accordance with embodiments.
  • FIGS. 5C-5D illustrates an isometric view and top plan view, respectively, of the transfer chamber of FIGS. 5A-5B having an interface unit coupled to the transfer chamber, in accordance with embodiments.
  • FIGS. 5E-5F illustrates top and bottom isometric views, respectively, of the interface unit of FIGS. 5A-B, in accordance with embodiments.
  • FIG. 6A illustrates a top view of an example processing tool in which two transfer chambers may be coupled together to provide additional sides for processing chambers, in accordance with embodiments.
  • FIG. 6B illustrates a top view of an additional example processing tool in which two transfer chambers are coupled together to provide additional sides for processing chambers, in accordance with embodiments.
  • DETAILED DESCRIPTION
  • Reference will now be made in detail to the example embodiments of this disclosure, which are illustrated in the accompanying drawings. Features of the various embodiments described herein may be combined with each other, unless specifically noted otherwise.
  • In accordance with embodiments of the present disclosure, a semiconductor device manufacturing platform, such as a tool and/or mainframe (referred to herein as a “processing tool” or “tool”), is provided that includes a transfer chamber including an increased number of locations (e.g., facets) for attaching or otherwise coupling processing chambers and substrate transfer units (e.g., one or more load locks and possibly one or more pass-through units). For example, in some embodiments, at least seven, at least eight, or even nine or more attachment locations may be provided in a transfer chamber within a single tool. Providing additional attachment locations increases a number of processing steps that may be performed at a single tool, may increase throughput by allowing for chamber redundancy (e.g., allowing multiple versions of the same processing chambers to be used in parallel) and allows substrates to remain under vacuum conditions during a larger portion of a manufacturing process.
  • These and other embodiments are described below with reference to FIGS. 1A-6B.
  • FIGS. 1A-1B illustrate top schematic plan views of an example processing tool 100 provided in accordance with embodiments of the disclosure. With reference to FIG. 1A, the processing tool 100 includes a transfer chamber 102 having a plurality of sides (or facets) 104 a-104 i forming a transfer chamber 102 having a nonagon shape. Other shapes and/or numbers of sides may be employed (e.g., forming a closed polygon).
  • In the embodiment of FIGS. 1A-1B, a first set of sides 104 a, 104 b and 104 c are narrower than the remaining second set of sides 104 d-104 i. First set of sides 104 a, 104 b and 104 c are employed to couple the transfer chamber 102 to one or more substrate transfer units, such as load locks 108 (e.g., one, two, or three load locks, for example) that couple to a factory interface 106. The remaining second set of sides 104 d-104 di may couple to processing chambers 110 a-110 f. In some embodiments, the first set of sides 104 a, 104 b and 104 c may each have a width of approximately 450 mm to 550 mm, and/or the second set of sides 104 d-104 i may each have a width of approximately 650 mm to 950 mm. However, in some embodiments, the first set of sides 104 a, 104 b, 104 c and/or the second set of sides 104 d-104 i may range from about 450 mm to 950 mm and/or may be the same size. Other widths may be employed for first set of sides 104 a-104 c and/or second set of sides 104 d-104 i, as may different widths for different sides.
  • In the embodiment of FIG. 1A, similar processing chambers are coupled to the second set of sides 104 d-104 i of transfer chamber 102 (e.g., each processing chamber may occupy a similar footprint). However, in some embodiments, such as the embodiment of FIG. 1B, processing chambers 110 a, 110 c, 110 e and 110 f may be similar processing chambers, such as epitaxial deposition chambers, while processing chambers 110 b and 110 d may be a different type of processing chamber, such as etch chambers. The different type is indicated by the footprint occupied by processing chambers 110 a, 110 c, 110 e and 110 f being different than the footprint occupied by processing chambers 110 b and 110 d. Other configurations, number and/or types of processing chambers may be employed.
  • As shown in FIG. 1B, processing chambers 110 a-110 f may be coupled to transfer chamber 102 via chamber interfaces 112 a-112 f, respectively. Such chamber interfaces 112 a-112f may include, for example, pass throughs, slit or gate valves, or the like, not separately shown. In embodiments in which a large processing chamber is coupled to the transfer chamber 102, it may be desirable to provide a deeper chamber interface that allows the large processing chamber to be moved and spaced further from the transfer chamber 102. This may be done to accommodate a larger footprint, to provide better service access, and the like, for example. In the embodiment of FIG. 1B, chamber interfaces 112 b and 112 d are shown as having a greater depth than chamber interfaces 112 a, 112 c, 112 e and 112 f. For example, the chamber interfaces 112 b and 112 d may have a depth of about 260 mm to about 320 mm, and/or the chamber interfaces 112 a, 112 c, 112 e and 112 f may have a depth of about 160 mm to about 260 mm. Thus, different ones of the second sets of sides 104 a-10 f may have chamber interfaces 112 a-112f having different depths. Other depths of the chamber interfaces 112 a-112 f may be employed.
  • The factory interface 106 is configured to receive one or more substrate carriers 114 a-114 d for supplying substrates to the processing chambers 110 a-f. While four substrate carriers are shown in FIGS. 1A-1B, it will be understood that the factory interface 106 may receive and/or be configured to receive more or fewer substrate carriers. In the embodiment of FIGS. 1A-1B, the geometrical center of the factory interface 106 is offset laterally by a distance “O” from a geometrical center of the transfer chamber 102 in order to provide additional access to the transfer chamber 102. In other embodiments, however, other or no offset may be provided.
  • FIGS. 2A-2B illustrates an isometric view and top plan view, respectively, of an example embodiment of the transfer chamber 102, in accordance with embodiments provided herein. With reference to FIGS. 2A-2B, transfer chamber 102 includes slit openings 202 a-202 b in a first side 104 b and openings 204 a-204 b through the other sides 102 a, 104 c of the first set of sides for interfacing with up to three substrate transfer units, such as load locks (single, batch or stacked load locks, for example, not separately shown). Slit openings 202 a-202 b may be sized to allow an end effector to pass from the transfer chamber 102 into a load lock positioned in front of the slit openings 202 a-202 b. As described further below, openings 204 a-204 b may be sized larger than the slit openings 202 a-202 b to allow a wrist or other portion of a robot to extend through the transfer chamber 102 for reaching upper and lower (e.g., stacked) load locks positioned further from the transfer chamber 102. Example dimensions for the slit openings 202 a-202 b are about 45 mm×400 mm to about 65 mm×600 mm. Example dimensions for the openings 204 a-204 b are about 280 mm×400 mm to about 430 mm×600 mm. Other dimensions may be used for any of the slit openings 202 a-202 b and/or openings 204 a-204 b.
  • As shown in FIG. 2A, second set of sides 104 d-104 i include second openings 206 a-206 f, respectively, which allow a robot to transfer substrates between the transfer chamber 102 and processing chambers (e.g., 110 a-110 f) that are coupled to the transfer chamber 102. In some embodiments, the second openings 206 a-206 f may be enlarged to allow a portion of a robot (e.g., a wrist or other portion) to extend through the transfer chamber 102 during such transfers. Example dimensions for the second openings 206 a-206 f are about 180 mm×400 mm to about 270 mm×600 mm. Other dimensions may be used for the second openings 206 a-206 f.
  • In some embodiments, to provide additional strength to the transfer chamber 102, an upper lid 208 of the transfer chamber 102 may be provided with extra material in regions between the second openings 206 a-206 f. For example, a rib 210 may be provided between each opening 206 a-f and/or material may be removed in regions 212 in front of each second opening 206 a-206 f. For example, each rib 210 may extend about 20-30 mm further into the transfer chamber region than regions 212. Other rib sizes and/or configurations may be employed.
  • FIGS. 2C-2D illustrates an isometric view and top plan view, respectively, of an example embodiment of the transfer chamber 102 having a robot 214 disposed therein, in accordance with embodiments provided herein. As seen in FIG. 2C, in some embodiments, the second openings 206 a-206 f may be sized to accommodate a wrist 216 of robot 214 so that robot 214 may extend further through the set of second sides 104 d-104 i of the transfer chamber 102 during substrate transfer operations. As shown in FIG. 2D, transfer chamber 102 may include one or more pump openings 218 for additional vacuum pumps (e.g., a cryogenic pump or similar device). In some embodiments, the robot 214 may be a dual-arm and/or offset-axis robot. Other robots may be employed.
  • FIGS. 3A-3B illustrates an isometric view and top plan view, respectively, of an example embodiment of the transfer chamber 102 including an interface unit 302 coupled to the transfer chamber 102, in accordance with embodiments provided herein. The interface unit 302 is configured to allow the transfer chamber 102 to interface with up to three load locks (e.g., single or batch load locks, stacked load locks, or the like). All or a portion of the up to three load locks may be formed by the interface unit 302 in some embodiments. Furthermore, in some embodiments, a degas or other processing chamber (not shown) may be positioned above (or within) the interface unit 302, such as above (or within) load lock chambers 304 a and/or 304 b. Rear openings 305a-305c allow transfer of substrates between factory interface 106 and interface unit 302. Interface unit 302 may be coupled to the first set of sides 104 a-104 c and to the factory interface 106 by any suitable means such as fasteners (e.g., bolts, screws, or the like).
  • FIGS. 3C-3D are top and bottom isometric views, respectively, of an example embodiment of the interface unit 302, in accordance with embodiments provided herein. A front region of the interface unit 302 includes front interface sides 306 a-306 c that may couple with first set of sides 104 a-104 c of transfer chamber 102 (FIG. 1A), respectively. In some embodiments, first front interface side 306 a may include first slit openings 308 a, 308 b, second front interface side 306 b may include second slit openings 310 a, 310 b and third front interface side 306 c may include third slit openings 312 a, 312 b for accommodating substrate transfers between the transfer chamber 102 and load locks (and/or degas/processing chambers) that are part of (or coupled to) the interface unit 302. As shown in FIG. 3D, load lock chambers 314 a-314 c provide up to three load locks (e.g., single load locks, batch load locks, stacked load locks, etc.) coupled to the transfer chamber 102.
  • FIGS. 4A-4B illustrates an isometric view and top plan view, respectively, of an example embodiment of the transfer chamber 102 having three load locks 402 a, 402 b and 402 c directly coupled to the set of sides 104 a, 104 b, and 104 c, respectively, the transfer chamber 102, in accordance with embodiments provided herein. The load locks 402 a-402 c may be single or batch load locks and/or stacked load locks, and/or may include a degas or other processing chamber. Fewer than three load locks may be employed.
  • FIGS. 5A-5B illustrates an isometric view and top plan view, respectively, of an alternative embodiment of the transfer chamber 102, in accordance with embodiments provided herein. With reference to FIGS. 5A-5B, the narrower first set of sides 104 a, 104 b and 104 c (FIG. 1A) are replaced with a single side 504 that is relatively longer in width. Single side 504 may have a length Ls that may be longer than a length of any of the second set of sides 104 d-104 i. Such a design may simply the interface between the transfer chamber 102 and one or more load locks and/or degas/process chambers as described below with reference to FIGS. 5C-5F.
  • FIGS. 5C-5D illustrates an isometric view and top plan view, respectively, of an example embodiment of the transfer chamber 102 of FIGS. 5A-5B having an interface unit 506 coupled to the transfer chamber 102, in accordance with embodiments provided herein. The interface unit 506 allows the transfer chamber 102 to interface with up to three load locks (e.g., single or batch load locks, stacked load locks, or the like. All or a portion of the up to three load locks may be formed by the interface unit 506 in some embodiments. Further, in some embodiments, a degas or other processing chamber (not shown) may be positioned above or within the interface unit 506, such as above or within interface unit opening 508 a and/or interface unit opening 508 b. Rear interface openings 509 a-509 c allow transfer of substrates between factory interface 106 and interface unit 506.
  • FIGS. 5E-5F are top and bottom isometric views, respectively, of an example embodiment of the interface unit 506, in accordance with embodiments provided herein. A front region 510 of the interface unit 506 may couple with the single side 504 of transfer chamber 102 (FIG. 5A). In some embodiments, multiple interface sides (e.g., interface sides 512 a, 512 b and 512 c) are provided within the interface unit 506; and interface side 512 a may include slit openings 514 a, 514 b, interface side 512 b may include slit openings 516 a (FIG. 5F), 516 b, and interface side 512 c may include slit openings 518 a, 518 b for accommodating substrate transfers between the transfer chamber 102 and load locks (and/or degas/processing chambers) that are part of (or coupled to) the interface unit 506. As shown in FIGS. 5F, interface openings 520 a-520 c provide up to three load locks (e.g., single load locks, batch load locks, stacked load locks, etc.) coupled to the transfer chamber 102. However, other numbers of load locks may be provided, as well as other numbers of interface sides.
  • FIG. 6A illustrates a top view of an example processing tool 600 a in which first and second transfer chambers 102 a, 102 b may be coupled together to provide additional sides for coupling of processing chambers, in accordance with embodiments provided herein. With reference to FIG. 6A, the processing tool 600 a includes an interface unit 602 that couples the first transfer chamber 102 a to a factory interface 604. A substrate transfer device, such as a pass-through unit 606 couples the second transfer chamber 102 b to the first transfer chamber 102 a.
  • In the embodiment of FIG. 6A, the interface unit 602 allows up to three or more substrate transfer devices, such as up to three or more load locks 608 a-608 c (and/or degas/processing chambers) to supply substrates to the first transfer chamber 102 a. Pass-through unit 606 includes three pass-through locations 610 a-610 c, which may serve as hand-off locations for substrate transfers between the first and second transfer chambers 102 a, 102 b. In some embodiments, fewer pass-through locations may be employed. Furthermore, in some embodiments, pass-through locations 610 a-610 c may be capable of performing substrate processing such as degas, annealing, cooling, or the like. Other processes may take place at the pass-through locations 610 a-610 c.
  • The processing tool 600 a provides up to ten sides (facets) 612 a-612 j to which processing chambers may be coupled. In other embodiments, additional transfer chambers may be coupled with the addition of other pass-through units to provide any number of linked processing chambers.
  • In the embodiment of FIG. 6A, first transfer chamber 102 a includes first elongated side 614 a configured to couple to interface unit 602 and a second elongated side 614 b opposite the first elongated side 614 a configured to couple to pass-through unit 606. Second transfer chamber 102 b includes a single elongated side 614 c for coupling to pass-through unit 606. In some embodiments, second transfer chamber 102 b may include one or more additional elongated sides configured to couple to additional pass-through units (e.g., when one or more additional transfer chambers are to be employed). In the depicted embodiment, sides 612 a, 612 b, 612i, 612 j may be shorter in length than each of the first elongated side 614 a and the second elongated side 614 b. Likewise, in the depicted embodiment, the sides 612 c-612 g may be shorter in length than the single elongated side 614 c.
  • FIG. 6B illustrates a top view of another example of a processing tool 600 b that is similar to the processing tool 600 a of FIG. 6A, but which does not employ elongated sides along either of the first or second transfer chamber 102 a, 102 b, in accordance with embodiments provided herein. With reference to FIG. 6B, each of the first and second transfer chambers 102 a, 102 b is illustrated as being octagon shaped (eight-sided), for a total of sixteen sides 612 a-612 p, which may be of equal length. First transfer chamber 102 a is coupled to factory interface 604 via load locks 608 a, 608 b, and to second transfer chamber 102 b via one or more pass-through units 610 a, 610 b. Processing chambers 616 a-616j are shown coupled to sides 612 c, 612 d, 612 h, 612 g, 612 k, 612 l, 612 m, 612 n, 612 o, 612 p of the processing tool 600 b. In other embodiments, additional transfer chambers may be coupled with additional pass-through units to provide any number of linked processing chambers.
  • In each of FIG. 6A and 6B embodiments, the processing tool 600 a, 600 b includes one or more load locks (e.g., load locks 608 a-608 c), a pass-through unit (e.g., pass-through unit 606, 610 a, 610 b), a first transfer chamber (e.g., first transfer chamber 102 a) coupled between the one or more load locks (e.g., load locks 608 a-608 c) and the pass-through unit (e.g., pass-through unit 606, 610 a, 610 b), and a second transfer chamber (e.g., second transfer chamber 102 b) coupled to the pass-through unit (e.g., pass-through unit 606, 610 a, 610 b). A total number of sides in each process tool 600 a, 600 b that are configured to receive process chambers between the first transfer chamber 102 a and the second transfer chamber 102 b, in sum, is at least ten. Transfers within each of the first transfer chamber 102 a and the second transfer chamber 102 b are each serviceable by a single robot (e.g., robots 214 a, 214 b—shown as dotted circles).
  • In another aspect, a method of semiconductor device processing is provided. The method includes providing a transfer chamber (e.g., transfer chamber 102, 102 a) having least one first side (e.g., single side 504 or first set of sides 504 a-104 c) of a first width coupled to one or more substrate transfer units (e.g., one or more load locks or one or more pass-through units 606) and at least a second set of sides of a second width that is different than the first width, the second set of sides coupled to a plurality of processing chambers, wherein a total number of sides of the transfer chamber is at least seven, but may be eight, nine, or more. The method further includes transferring substrates between the one or more substrate transfer units (e.g., load locks or pass-through units 606) and at least one of the plurality of processing chambers (e.g., with a single robot (e.g., robot 214 in the transfer chamber.
  • While described primarily with reference to seven, eight or nine sides, it will be understood that the transfer chamber 102 may include any suitable number of sides, such as ten sides, eleven sides, twelve sides, or the like, or fewer than seven sides.
  • The foregoing description discloses only example embodiments of the disclosure. Modifications of the above-disclosed apparatus, systems and methods which fall within the scope of the disclosure will be readily apparent to those of ordinary skill in the art. Accordingly, while the present disclosure has been disclosed in connection with example embodiments, it should be understood that other embodiments may fall within the scope of the disclosure, as defined by the following claims.

Claims (21)

What is claimed is:
1. A substrate processing system comprising:
a factory interface;
a transfer chamber comprising:
four first facets adapted for attachment to one or more first processing chambers; and
three second facets, wherein each of the three second facets has a width that is narrower than that of each of the four first facets;
a second processing chamber having a first interface attached to a first of the three second facets;
a load lock attached to a second of the three second facets, the load lock also attached to the factory interface; and
a robot attached to a bottom of the transfer chamber, the robot adapted to transfer substrates to and from the one or more first processing chambers, the second processing chamber, and the load lock.
2. The substrate processing system of claim 1, further comprising a degas chamber having a second interface attached to a third of the three second facets.
3. The substrate processing system of claim 2, wherein the second of the three second facets is positioned between the first and the second of the three second facets.
4. The substrate processing system of claim 1, further comprising the one or more first processing chambers, wherein the one or more first processing chambers are epitaxial deposition chambers.
5. The substrate processing system of claim 1, wherein the load lock is one of a single, a batch, or a stacked load lock.
6. The substrate processing system of claim 1, wherein the factory interface is laterally offset from a geometrical center of the transfer chamber.
7. The substrate processing system of claim 1, wherein the robot comprises two arms, each attached to a separate end effector.
8. The substrate processing system of claim 1, wherein the second processing chamber is one of a pre-processing chamber or a post-processing chamber.
9. A substrate processing system comprising:
a transfer chamber comprising:
four first facets adapted for attachment to one or more first processing chambers; and
three second facets, wherein each of the three second facets has a width that is narrower than that of each of the four first facets;
a single robot attached to a bottom of the transfer chamber and adapted to pass substrates through the four first facets and the three second facets; and
an interface unit comprising an integral unit body that comprises:
a mating piece having three interface sides to attach to the three second facets;
a second processing chamber having a first of the three interface sides;
a load lock having a second of the three interface sides; and
a third processing chamber having a third of the three interface sides.
10. The substrate processing system of claim 9, wherein the load lock is positioned in a middle of the interface unit between the second processing chamber and the third processing chamber.
11. The substrate processing system of claim 9, further comprising the one or more first processing chambers, wherein the one or more first processing chambers are epitaxial deposition chambers, and the second processing chamber and the third processing chamber are smaller than each of the one or more first processing chambers.
12. The substrate processing system of claim 9, wherein the load lock is one of a single, a batch, or a stacked load lock.
13. The substrate processing system of claim 9, further comprising a factory interface attached to the load lock.
14. The substrate processing system of claim 13, wherein the factory interface is laterally offset from a geometrical center of the transfer chamber.
15. The substrate processing system of claim 9, wherein the robot comprises two arms, each attached to a separate end effector.
16. The substrate processing system of claim 9, wherein the second processing chamber is a pre-processing chamber and the third processing chamber is a post-processing chamber.
17. A mainframe for a semiconductor manufacturing device comprising:
a transfer chamber comprising:
a bottom;
four first facets attached to the bottom, wherein each of the first four facets is adapted for attachment to a first processing chamber;
two second facets attached to the bottom, wherein each of the two second facets has a width that is narrower than that of each of the four first facets and is adapted for attachment to a second processing chamber that is smaller than the first processing chamber;
a single third facet attached to the bottom, wherein the single third facet is adapted for attachment to a load lock; and
a robot attached to the bottom, the robot adapted to transfer substrates to and from each first processing chamber, each second processing chamber, and the load lock.
18. The mainframe of claim 17, wherein the single third facet is positioned between the two second facets and also has a width that is narrower than that of each of the four first facets.
19. The mainframe of claim 17, wherein the load lock is one of a single, a batch, or a stacked load lock.
20. The mainframe of claim 17, wherein the robot comprises two arms, each attached to a separate end effector, and wherein the two second facets each comprise an opening through which to insert and withdraw one of the two arms of the robot.
21. The mainframe of claim 17, wherein the single third facet comprises slit openings through which to insert the substrates to and from the load lock.
US17/248,395 2013-11-04 2021-01-22 Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods Abandoned US20210143034A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/248,395 US20210143034A1 (en) 2013-11-04 2021-01-22 Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361899862P 2013-11-04 2013-11-04
PCT/US2014/063708 WO2015066624A1 (en) 2013-11-04 2014-11-03 Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US201615029502A 2016-04-14 2016-04-14
US17/248,395 US20210143034A1 (en) 2013-11-04 2021-01-22 Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US15/029,502 Continuation US10971381B2 (en) 2013-11-04 2014-11-03 Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
PCT/US2014/063708 Continuation WO2015066624A1 (en) 2013-11-04 2014-11-03 Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods

Publications (1)

Publication Number Publication Date
US20210143034A1 true US20210143034A1 (en) 2021-05-13

Family

ID=53005262

Family Applications (3)

Application Number Title Priority Date Filing Date
US15/029,502 Active US10971381B2 (en) 2013-11-04 2014-11-03 Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US16/359,561 Active US11087998B2 (en) 2013-11-04 2019-03-20 Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US17/248,395 Abandoned US20210143034A1 (en) 2013-11-04 2021-01-22 Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US15/029,502 Active US10971381B2 (en) 2013-11-04 2014-11-03 Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US16/359,561 Active US11087998B2 (en) 2013-11-04 2019-03-20 Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods

Country Status (6)

Country Link
US (3) US10971381B2 (en)
JP (2) JP6466955B2 (en)
KR (2) KR20210127823A (en)
CN (2) CN105706227B (en)
TW (2) TWI699850B (en)
WO (1) WO2015066624A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023172283A1 (en) * 2022-03-11 2023-09-14 Applied Materials, Inc. Modular multi-chamber processing tool having link chamber for ultra high vacuum processes

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015066624A1 (en) 2013-11-04 2015-05-07 Applied Materials, Inc Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
CN106298583B (en) * 2015-05-27 2019-12-03 中微半导体设备(上海)股份有限公司 Processing chamber, processing chamber and vacuum lock combination and substrate handling system
US20170352562A1 (en) * 2016-06-02 2017-12-07 Applied Materials, Inc. Dodecadon transfer chamber and processing system having the same
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10684159B2 (en) 2016-06-27 2020-06-16 Applied Materials, Inc. Methods, systems, and apparatus for mass flow verification based on choked flow
US11024531B2 (en) 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
US10361099B2 (en) 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US11107709B2 (en) 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
JP7316121B2 (en) 2019-07-05 2023-07-27 東京エレクトロン株式会社 Substrate transfer device and substrate transfer method
US20220199436A1 (en) 2020-12-23 2022-06-23 Applied Materials, Inc. Semiconductor processing tool platform configuration with reduced footprint
CN112786507A (en) * 2021-01-13 2021-05-11 上海陛通半导体能源科技股份有限公司 Modular semiconductor equipment transmission cavity unit and wafer transmission system

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0608620B1 (en) 1993-01-28 1996-08-14 Applied Materials, Inc. Vacuum Processing apparatus having improved throughput
JP3748940B2 (en) 1995-03-20 2006-02-22 東京エレクトロン株式会社 Positioning device, processing system, and positioning method
TW372926B (en) * 1996-04-04 1999-11-01 Applied Materials Inc Method and system of processing semiconductor workpieces and robot for use in said system
US5863170A (en) 1996-04-16 1999-01-26 Gasonics International Modular process system
JP3454034B2 (en) 1996-09-13 2003-10-06 株式会社日立製作所 Vacuum processing equipment
JPH10135301A (en) 1996-10-30 1998-05-22 Hitachi Techno Eng Co Ltd Wafer treating apparatus
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US6575737B1 (en) 1997-06-04 2003-06-10 Applied Materials, Inc. Method and apparatus for improved substrate handling
KR100265287B1 (en) 1998-04-21 2000-10-02 윤종용 Multi-chamber system for etching equipment for manufacturing semiconductor device
US6190103B1 (en) 1999-03-31 2001-02-20 Gasonics International Corporation Wafer transfer device and method
US6309116B1 (en) * 1999-06-09 2001-10-30 Tokyo Electron Limited Substrate processing system
US6629053B1 (en) 1999-11-22 2003-09-30 Lam Research Corporation Method and apparatus for determining substrate offset using optimization techniques
KR100462237B1 (en) 2000-02-28 2004-12-17 주성엔지니어링(주) Cluster tool for semiconductor device fabrication having a substrate cooling apparatus
US6582175B2 (en) 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
WO2001096972A2 (en) 2000-06-14 2001-12-20 Applied Materials, Inc. Methods and apparatus for maintaining a pressure within an environmentally controlled chamber
US6562141B2 (en) 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
JP2003022950A (en) * 2001-07-05 2003-01-24 Canon Inc Debris remover for x-ray light source and aligner comprising it
US8796589B2 (en) 2001-07-15 2014-08-05 Applied Materials, Inc. Processing system with the dual end-effector handling
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US6672864B2 (en) * 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
JP2003115518A (en) 2001-10-02 2003-04-18 Hitachi Kokusai Electric Inc Substrate treatment apparatus
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
US7681521B2 (en) * 2002-11-18 2010-03-23 Tokyo Electron Limited Insulation film formation device
JP2004235538A (en) * 2003-01-31 2004-08-19 Tokyo Electron Ltd Conveying device, vacuum processing device, and o-ring
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
CN1669892B (en) 2003-11-13 2011-11-16 应用材料股份有限公司 Calibration of high speed loader to substrate transport system
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
KR20070014277A (en) 2005-07-28 2007-02-01 삼성전자주식회사 Equipment for manufacturing semiconductor device
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
JP4925650B2 (en) * 2005-11-28 2012-05-09 東京エレクトロン株式会社 Substrate processing equipment
CN103021908B (en) 2005-12-20 2015-09-30 应用材料公司 Extended mainframe for semiconductor device manufacturing equipment designs
US8734720B2 (en) * 2006-03-29 2014-05-27 Michael J. Nichols Automated testing system arrangements using docking station
US7652227B2 (en) 2006-05-18 2010-01-26 Applied Materials, Inc. Heating and cooling plate for a vacuum chamber
US20080175694A1 (en) * 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
JP4970128B2 (en) * 2007-04-27 2012-07-04 日本電産サンキョー株式会社 Industrial robot and collective processing device
TWI660446B (en) 2007-05-08 2019-05-21 美商布魯克斯自動機械公司 Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
KR101522324B1 (en) 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 Load lock fast pump vent
WO2009055507A1 (en) 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
JP2010034505A (en) * 2008-06-30 2010-02-12 Canon Anelva Corp Stacked load lock chamber, and substrate processing apparatus including the same
JP2010074073A (en) * 2008-09-22 2010-04-02 Hitachi Kokusai Electric Inc Substrate processing apparatus
US8602706B2 (en) * 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
WO2012064949A1 (en) * 2010-11-10 2012-05-18 Brooks Automation, Inc. Dual arm robot
US9443749B2 (en) * 2011-01-20 2016-09-13 Tokyo Electron Limited Vacuum processing apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
WO2013023092A2 (en) 2011-08-10 2013-02-14 Applied Materials, Inc Robot systems, apparatus, and methods adapted to process substrates in multiple tiers
TWI629743B (en) * 2012-02-10 2018-07-11 布魯克斯自動機械公司 Substrate processing apparatus
KR20150003803A (en) * 2012-04-12 2015-01-09 어플라이드 머티어리얼스, 인코포레이티드 Robot systems, apparatus, and methods having independently rotatable waists
US9640416B2 (en) * 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US10847391B2 (en) * 2013-03-12 2020-11-24 Applied Materials, Inc. Semiconductor device manufacturing platform with single and twinned processing chambers
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
US20140261168A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Multiple chamber module and platform in semiconductor process equipment
WO2014150260A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
KR101734821B1 (en) 2013-03-15 2017-05-12 어플라이드 머티어리얼스, 인코포레이티드 Processing systems, apparatus, and methods adapted to process substrates in electronic device manufacturing
US9196514B2 (en) 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
US9435025B2 (en) 2013-09-25 2016-09-06 Applied Materials, Inc. Gas apparatus, systems, and methods for chamber ports
CN108695213B (en) 2013-09-26 2022-03-18 应用材料公司 Hybrid platform-based apparatus, system, and method for substrate processing
KR101770970B1 (en) 2013-09-30 2017-08-24 어플라이드 머티어리얼스, 인코포레이티드 Transfer chamber gas purge apparatus, electronic device processing systems, and purge methods
WO2015066624A1 (en) 2013-11-04 2015-05-07 Applied Materials, Inc Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US9698041B2 (en) 2014-06-09 2017-07-04 Applied Materials, Inc. Substrate temperature control apparatus including optical fiber heating, substrate temperature control systems, electronic device processing systems, and methods
US10736182B2 (en) 2014-07-02 2020-08-04 Applied Materials, Inc. Apparatus, systems, and methods for temperature control of substrates using embedded fiber optics and epoxy optical diffusers
JP6608923B2 (en) 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド TEMPERATURE CONTROL DEVICE INCLUDING HEATING WITH OPTICAL FIBER PATHED TO GROOVE, SUBSTRATE TEMPERATURE CONTROL SYSTEM, ELECTRONIC DEVICE PROCESSING SYSTEM, AND PROCESSING METHOD

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023172283A1 (en) * 2022-03-11 2023-09-14 Applied Materials, Inc. Modular multi-chamber processing tool having link chamber for ultra high vacuum processes

Also Published As

Publication number Publication date
CN110085535A (en) 2019-08-02
US20160225646A1 (en) 2016-08-04
TW201523779A (en) 2015-06-16
KR20160083084A (en) 2016-07-11
US10971381B2 (en) 2021-04-06
CN105706227A (en) 2016-06-22
WO2015066624A1 (en) 2015-05-07
JP2017500755A (en) 2017-01-05
TW201941347A (en) 2019-10-16
TWI699850B (en) 2020-07-21
JP2019083327A (en) 2019-05-30
US20190214284A1 (en) 2019-07-11
JP6466955B2 (en) 2019-02-06
CN105706227B (en) 2019-11-26
TWI658531B (en) 2019-05-01
US11087998B2 (en) 2021-08-10
KR20210127823A (en) 2021-10-22

Similar Documents

Publication Publication Date Title
US20210143034A1 (en) Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
TWI618177B (en) Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US8216379B2 (en) Non-circular substrate holders
KR102417929B1 (en) Apparatus for substrate processing
KR100818044B1 (en) Substrate pedestal and substrate transfer equipment and substrate processing system and method using the same
EP1965409A2 (en) Apparatus and methods for transporting and processing substrates
US10710819B1 (en) Long reach vacuum robot with dual wafer pockets
US20230041905A1 (en) Systems and Methods for Workpiece Processing
US10968052B2 (en) Long reach vacuum robot with dual wafer pockets
US11183407B2 (en) Adaptive inset for wafer cassette system
KR102058985B1 (en) Load station
KR20160119380A (en) apparatus for manufacturing a substrate and semiconductor fabrication line including the same
KR20180045053A (en) Large Area Dual Board Processing System
KR20160034378A (en) Cobalt substrate processing systems, apparatus, and methods
US20220139740A1 (en) Chamber interface for linked processing tools
KR101891383B1 (en) Processing chamber, combination of processing chamber and loadlock, and system for processing substrates
KR101383248B1 (en) High speed substrate processing system
US10453725B2 (en) Dual-blade robot including vertically offset horizontally overlapping frog-leg linkages and systems and methods including same
KR20190139017A (en) Transfer robot and Apparatus for treating substrate with the robot
KR20190143590A (en) Transfer robot and Apparatus for treating substrate with the robot

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RICE, MICHAEL ROBERT;MEYERS, MICHAEL;MAZZOCCO, JOHN J.;AND OTHERS;SIGNING DATES FROM 20160427 TO 20161002;REEL/FRAME:055005/0670

STPP Information on status: patent application and granting procedure in general

Free format text: APPLICATION DISPATCHED FROM PREEXAM, NOT YET DOCKETED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION