WO2012098871A1 - 真空処理装置 - Google Patents
真空処理装置 Download PDFInfo
- Publication number
- WO2012098871A1 WO2012098871A1 PCT/JP2012/000271 JP2012000271W WO2012098871A1 WO 2012098871 A1 WO2012098871 A1 WO 2012098871A1 JP 2012000271 W JP2012000271 W JP 2012000271W WO 2012098871 A1 WO2012098871 A1 WO 2012098871A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- vacuum
- transfer
- modules
- substrate
- load lock
- Prior art date
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B25—HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
- B25J—MANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
- B25J11/00—Manipulators not otherwise provided for
- B25J11/0095—Manipulators transporting wafers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67703—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67742—Mechanical parts of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68771—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
Definitions
- the present invention relates to a cluster tool type vacuum processing system, and more particularly to a vacuum processing apparatus provided with a plurality of vacuum transfer mechanisms in a vacuum transfer chamber.
- the cluster tool method is a method in which a plurality of process modules that perform predetermined processing under reduced pressure are arranged around a vacuum transfer chamber in order to make processes consistent, connected, or complex. Typically used in semiconductor manufacturing equipment.
- the transfer space in the vacuum transfer chamber is divided into multiple vacuum transfer areas in the depth direction, and each vacuum transfer is performed.
- a vacuum transfer robot is installed in the area to transfer the substrate to and from the surrounding process modules, and a relay stand for transferring the substrate between the vacuum transfer robots is arranged near the boundary between the vacuum transfer areas.
- Patent Document 2 See, for example, Patent Document 2.
- the front vacuum transfer robot and the back vacuum transfer robot are connected in series via the relay stand as viewed from the load lock module that interfaces the atmospheric space on the load port side and the decompression space in the vacuum transfer chamber. It becomes the composition.
- the conventional cluster tool that employs a configuration in which a plurality of vacuum transfer robots are connected in series in the vacuum transfer chamber is used when all the process modules are uniformly performed in parallel with the same single process.
- the transport capacity reaches a limit.
- each vacuum transfer robot receives an unprocessed substrate from the load-lock module within a certain cycle, and puts / removes the substrate to / from each process module around the vacuum transfer area in charge. And the operation of feeding the processed substrate to the load lock module side must be performed in sequence.
- the transfer load is concentrated on the front vacuum transfer robot, where the transfer capacity of the entire system reaches its limit, and the throughput is limited. This problem becomes more prominent as the process time is shorter, and becomes a fatal throughput limiting factor in a short process such as 1 minute or less or 30 seconds or less.
- the load port side concentrates on one cassette holding a substrate being processed or in process.
- removal of unprocessed substrates and loading of processed substrates must be performed frequently and promptly (in line with loading and unloading of all substrates in all loadlock modules). Therefore, not only the transfer efficiency in the vacuum transfer chamber but also the improvement of the transfer efficiency in the atmospheric transfer chamber becomes an issue.
- the present invention solves the problems of the prior art as described above, and provides a vacuum processing apparatus that improves the transfer efficiency and throughput of a vacuum transfer system having a plurality of vacuum transfer mechanisms.
- the present invention provides a vacuum processing apparatus that improves the transfer efficiency of an atmospheric transfer system that transfers a substrate between a load port and a load lock module.
- a vacuum processing apparatus includes a vacuum transfer chamber in which a chamber is maintained in a reduced pressure state, first and second vacuum transfer areas provided separately in the vacuum transfer chamber in the horizontal direction, A first set of process modules and a first set of loadlock modules disposed around the vacuum transfer chamber adjacent to the first vacuum transfer area; and adjacent to the second vacuum transfer area.
- the second set of process modules disposed around the vacuum transfer chamber, the first set of process modules, and the first set of load lock modules are accessed to access each module and substrate.
- Each of the access destination modules is accessed by accessing the first vacuum transfer mechanism for transferring and transferring the substrate within the first vacuum transfer area and the second set of process modules.
- a second vacuum transfer mechanism for transferring the substrate and the substrate, and transferring the substrate in the second vacuum transfer area, and disposed on a floor higher or lower than the first set of load lock modules.
- a third vacuum transfer mechanism for transferring the substrate and transferring the substrate in the third vacuum transfer area.
- the first vacuum transfer mechanism and the second vacuum transfer mechanism operate independently of each other, and the first vacuum transfer mechanism is used only for transferring the substrate in the first vacuum transfer area. It is only necessary to be engaged, and it is not necessary to participate in (bridge) the substrate transfer between the second set (second floor) of the load lock module and the second set of process modules. Therefore, the transport load does not concentrate on the first vacuum transport mechanism. As described above, since the transfer load is evenly or appropriately distributed to all the vacuum transfer robots, the transfer capacity and transfer efficiency of the entire system are high, and the throughput of a single time process in the cluster tool can be improved.
- the vacuum processing apparatus is provided with a vacuum transfer chamber in which the chamber is kept in a reduced pressure state and a periphery of the vacuum transfer chamber, and a predetermined process is performed on the substrate in the reduced pressure chamber.
- One or a plurality of process modules and a substrate provided around the vacuum transfer chamber, and the chamber is selectively switched to an atmospheric state or a reduced pressure state and transferred between the atmospheric space and the vacuum transfer chamber
- a plurality of load lock modules that temporarily hold the substrate and between one of the load lock modules and one of the process modules or between different process modules
- One of the first load port provided at a predetermined position in the atmospheric space facing the plurality of load lock modules and the first cassette placed in the first load port for performing the loading
- the first and second atmospheric transfer robots alternately access the first cassette that is being processed in the lot on the first load port or that is in progress to take out / take out the substrate. Since the charging can be performed quickly and smoothly, the transfer efficiency of the atmospheric system between the first load port and the load lock module can be greatly improved, and the high throughput of the vacuum system can be easily accommodated. Can do.
- the vacuum processing apparatus of the present invention it is possible to improve the transfer efficiency and throughput of a vacuum transfer system having a plurality of vacuum transfer mechanisms by the configuration and operation as described above. Furthermore, the load port and the load lock module It is also possible to improve the transfer efficiency of the atmospheric transfer system for transferring the substrate between them.
- FIG. 1 and FIG. 2 are a plan view and a longitudinal sectional view, respectively, showing the overall configuration of a vacuum processing apparatus according to an embodiment of the present invention.
- 3 and 4 show the overall configuration of FIG. 1 separately for the first and second processing units operating in parallel.
- This vacuum processing apparatus is configured as a cluster tool type plasma processing apparatus, and has a pentagonal shape in which a pair of sides extending in the apparatus depth direction (X direction in the drawing) is approximately twice as long as the other sides.
- four process modules PM 1 , PM 2 , PM 3 , PM 4 and four load lock modules LM L1 , LM L2 , LM U1 , LM U2 are arranged in a cluster.
- the vacuum transfer chamber 10 has a two-story structure, and the first floor is provided with first and second vacuum transfer areas TE 1 and TE 2 divided in a horizontal depth direction (X direction). It has been. Then, the first around the vacuum transfer area TE 1, respectively connected through the two side walls of the hypotenuse first set of two load-lock modules LM L1, LM L2 is a gate valve GV L1, GV L2 At the same time, a first set of two process modules PM 1 and PM 2 are connected to two side walls facing in parallel in the width direction (Y direction) via gate valves GV 1 and GV 2 , respectively. On the other hand, around the second vacuum transfer area TE 2, a second set of two process modules PM 3 and PM 4 are arranged on two side walls facing in parallel in the width direction (Y direction), and gate valves GV 3 and GV. 4 are connected to each other.
- Second floor are all turned to the third vacuum transfer area TE 3 of the vacuum transfer chamber 10.
- the third vacuum transfer area TE 3 is vertically separated from the first and second vacuum transfer areas TE 1 and TE 2 on the first floor by a horizontal partition plate 11.
- the partition plate 11 is cut out at an intermediate portion in the depth direction (X direction) to form an opening 13, and the third vacuum transfer area TE 3 passes from the second floor to the first floor through the opening 13. It goes down and interrupts between the first and second vacuum transfer areas TE 1 and TE 2 .
- Load lock modules LM U1 and LM U2 are connected to each other through gate valves GV U1 and GV U2 .
- the process modules (processing devices) PM 1 , PM 2 , PM 3 , PM 4 have a vacuum chamber 12 in which the interior of the chamber is always kept in a reduced pressure state with a variable pressure by a dedicated exhaust device (not shown).
- a dedicated exhaust device not shown.
- one or a plurality of substrates to be processed such as a semiconductor wafer W, is placed on a mounting table 14 disposed in the center of the room, and a desired plasma is used using a predetermined power (processing gas, high-frequency power, etc.).
- Processing such as vacuum film formation processing such as CVD, ALD (Atomic Layer Deposition) or sputtering, heat treatment, semiconductor wafer surface cleaning processing, dry etching processing, and the like are performed.
- the load lock modules LM L1 , LM L2 , LM U1 , LM U2 can communicate with the atmospheric transfer chamber of the loader module 15 described later via the door valves DV L1 , DV L2 , DV U1 , DV U2 , respectively.
- Each loading lock chamber 16 is provided with a mounting table 18 for temporarily holding the semiconductor wafer W transferred between the loader module 15 and the vacuum transfer chamber 10.
- the load lock chambers 16 of the load lock modules LM L1 , LM L2 , LM U1 , and LM U2 are connected to the exhaust device 22 via the on-off valve 20 and are connected via the on-off valve 24.
- FIG. 2 for simplification of illustration, only one on-off valve 20 for exhaust is provided for one of the load lock modules LM L1 and LM L2 on the first floor, and two on-off valves 24 for purging are provided. Only one of the floor loadlock modules LM U1 and LM U2 is shown.
- the vacuum transfer chamber 10 is connected to a dedicated exhaust device 28, and the interior of the chamber is normally kept at a constant pressure with a constant pressure.
- first and second vacuum transfer robots (vacuum transfer mechanisms) 30 and 32 are respectively provided in the first and second vacuum transfer areas TE 1 and TE 2 , and in the third vacuum transfer area TE 3 .
- a third vacuum transfer robot (vacuum transfer mechanism) 34 is provided.
- the first vacuum transfer robot 30 has a main body installed at the center of the first vacuum transfer area TE 1 and a pair of transfer arms F a , F that can be turned, moved up and down (or expanded and contracted) on the main body. b , each of the transfer arms F a and F b can hold or support one semiconductor wafer W horizontally by its fork-shaped end effector.
- the first vacuum transfer robot 30 includes a first set of process modules PM 1 and PM 2 and a first set of load lock modules LM L1 , which are arranged around the first vacuum transfer area TE 1 .
- any of LM L2 can be accessed, and the transfer arm F a passes through the open gate valve GV (GV 1 , GV 2 , GV L1 , GV L2 ) into the chamber 12 (16) of the access destination module. , F selectively inserting one of b, the mounting table 14 of the semiconductor wafer W before processing on the (18) carried (loaded), or the mounting table 14 (18) semiconductor wafer after processing the W Can be unloaded.
- GV open gate valve
- the second vacuum transfer robot 32 includes a main body installed at the center of the second vacuum transfer area TE 2 and a pair of transfer arms F c and F that can be swung, moved up and down (or expanded and contracted) on the main body. d , each of the transfer arms F c and F d can hold or support one semiconductor wafer W horizontally by its fork-shaped end effector.
- the second vacuum transfer robot 32 can access any of the second set of process modules PM 3 and PM 4 arranged around the second vacuum transfer area TE 2 and is in an open state.
- One of the transfer arms F c , F d is selectively inserted into the chamber 12 of the access destination module through the gate valve GV (GV 3 , GV 4 ), and before the processing is performed on the mounting table 14.
- the semiconductor wafer W can be loaded (loaded), or the processed semiconductor wafer W can be unloaded from the mounting table 14 (unloading). Further, as will be described later, the second vacuum transfer robot 32 can deliver the semiconductor wafer W to and from the third vacuum transfer robot 34 in the first floor portion of the second vacuum transfer area TE2.
- the third vacuum transfer robot 34 includes left and right horizontal transfer units HR 1 and HR 2 that can move straight in the depth direction (X direction) in the second floor portion of the third vacuum transfer area TE 3, vertical direction (Z direction) to move linearly that liftable left and right of the elevating conveyor portion VR 1 at the opening 13 of the vacuum transfer area TE 3, and a VR 2.
- the left and right horizontal transport sections HR 1 and HR 2 and the left and right lift transport sections VR 1 and VR 2 are a pair of left and right loads arranged side by side in the width direction (Y direction) on the second floor.
- Corresponding to each of the lock modules LM U1 and LM U2 they are provided side by side in the width direction (Y direction).
- Figure 5 shows a third specific configuration example of the right horizontal conveyance section HR 2 and the right elevating conveyor portion VR 2 of the vacuum transfer robot 34 running on a third right halves of the vacuum transfer area TE 3.
- the right horizontal transfer unit HR 2 is directed to the right load lock module LM U2 and has one fork-shaped transfer arm AM 2 that can hold or support one semiconductor wafer W horizontally, and the transfer arm AM 2. And a horizontal rectilinear transfer section 36 that moves straight in only the depth direction (X direction) of the vacuum transfer chamber 10.
- the right-side horizontal rectilinear transport unit 36 is an endless transport drive belt that extends in the depth direction (X direction) along the right side wall (or upper wall) of the second floor portion of the vacuum transport chamber 10, for example, a timing belt 38; drive for driving the conveyor drive belt 38 (the motor 40, the drive pulley 42 and an unillustrated idler pulley on the opposite side), and the arm support portion 44 of the bracket-type coupling the carrier arm AM 2 to conveyor drive belt 38 And a rail 46 for guiding the arm support portion 44 in the depth direction (X direction).
- the rotational direction by controlling the rotational speed and stopping, moved straight to the bidirectional transfer arm AM 2 in the right half of the third second floor of the vacuum transfer area TE 3 of an arbitrary position It can be stopped with.
- Right lifting conveyor section VR 2 is to towards the right load-lock module LM U2 to the back, top and bottom buffer shaped pad 48 which can be horizontally mounted or supported one semiconductor wafer W, respectively at different heights U 48 L and the buffers 48 U , 48 L via the elevating rod 50, the first floor transfer position (the first floor transfer position provided in the first floor portion and the second floor portion of the opening 13 of the vacuum transfer area TE 3 , respectively) transfer position) and a TP L and 2 KaiUtsuri mounting position TP U (elevation drive unit 52 for vertically moving the second transfer position) between.
- the raising / lowering drive part 52 is comprised, for example with the linear motor, the ball screw mechanism, or the cylinder.
- the elevating bar 50 is slidably passed in a vertical direction through a seal member 54 through a hole provided in the bottom wall of the first floor of the vacuum transfer chamber 10. Both the buffers 48 U and 48 L are moved up and down at the opening 13 of the third vacuum transfer area TE 3 by controlling the start, the direction of lifting and lowering, and the stroke and stop of the lifting drive unit 52, and their height positions Can be arbitrarily changed.
- the upper and lower buffers 48 U and 48 L are configured to support the semiconductor wafer W at one location in FIG. 5, but are arranged at a plurality of locations (for example, 3 spaced apart by 120 ° in the circumferential direction). A configuration in which the semiconductor wafer W is supported by the pins) is also possible.
- the right horizontal transfer unit HR 2 moves the transfer arm AM 2 in the depth direction (X direction) in the right half of the second floor portion of the third vacuum transfer area TE 3 , so that the right load lock module LM U2 and with enabling the transfer of the semiconductor wafer W at that load lock chamber 16, able to perform the transfer of the semiconductor wafer W in both buffers 48 U, 48 L both KaiUtsuri mounting position TP U of the right elevating conveyor section VR 2 ing.
- the right load-lock module LM U2 by cooperative operation and out of raising or lowering the conveying arm AM 2 described later to the lift pin 17, carries the unprocessed semiconductor wafer W from the load lock chamber 16 Alternatively, the processed semiconductor wafer W can be loaded into the load lock chamber 16.
- the receiving one of the buffers 48 U and 48 L for example, the buffer 48 U is adjusted to a position somewhat lower than the transfer arm AM 2 . Then, by forward movement of the conveyor arm AM 2, thereby proffered the semiconductor wafer W on the buffer 48 U.
- the semiconductor wafer W When raising the right elevating conveyor section VR 2 at a short stroke, the semiconductor wafer W is possess a carrier arm AM 2 to the buffer 48 U. Thereafter, to temporarily retract the transfer arm AM 2, it is moved downward to the right elevator conveyor section VR 2 on the first floor from the second floor.
- the right horizontal conveying section HR 2 receives the processed semiconductor wafer W from the right side elevation conveyance unit VR 2, by also backward movement of the conveyor arm AM 2 all the way into the position than 2 KaiUtsuri mounting position TP U from raising moves the right elevating conveyor section VR 2 on the second floor from the first floor.
- the buffer 48 L is adjusted to a position slightly higher than the transfer arm AM 2 .
- the transfer arm AM 2 is advanced to to the bottom of the buffer 48 L, is lowered right elevating conveyor section VR 2 at a short stroke, the semiconductor wafer W is possess from the buffer 48 L to the transport arm AM 2. Thereafter, to temporarily retract the transfer arm AM 2, it is moved downward to the right elevator conveyor section VR 2 on the first floor from the second floor.
- the semiconductor wafer W is bidirectionally transferred one by one between the right load-lock module LM U2 and the buffers 48 U and 48 L of the right lifting transport unit VR 2 via the right horizontal transfer unit HR 2. Can be transferred to. Also, so that between the right horizontal conveying section HR 2 and both buffers 48 U, 48 L, enables also exchange with the processed semiconductor wafer W and the unprocessed semiconductor wafer W.
- both buffers 48 U of the right elevating conveyor section VR 2, 48 L by descending the first floor, allows the transfer of 1 KaiUtsuri mounting position TP L in the second vacuum transfer robot 32 and the semiconductor wafer W. That is, while the right elevator conveying portion VR 2 is arrived at 1 KaiUtsuri mounting position TP L, the second vacuum transfer robot 32 is arbitrarily accessible to any of the two buffers 48 U, 48 L, the transport it is possible to pass the arm F c, the buffer 48 using the F d U, 48 receives the semiconductor wafer W i unprocessed from L, or at each buffer 48 U, 48 L to processed semiconductor wafer W j , which is to allow also the exchange of the semiconductor wafer W i and processed semiconductor wafer W j of unprocessed by the pick-and-place operation.
- the horizontal movement of the transfer arms F c , F d in the second vacuum transfer robot 32 and the vertical movement of the buffers 48 U , 48 L in the right lift transport unit VR 2 are performed.
- the semiconductor wafer W is transferred between the two.
- the second vacuum transfer robot 32 not only moves the transfer arms F c and F d horizontally but also moves up and down, so that the buffer on the right side lift transfer unit VR 2 is moved.
- the operation of moving up and down 48 U and 48 L can be made unnecessary.
- the third vacuum transfer robot 34, the right horizontal conveyance section HR 2 and the right elevating conveyor section VR 2, 2 floor right load-lock module LM U2 and the first floor of the second vacuum transfer robot 32 The semiconductor wafers W can be transferred one by one in both directions.
- the left horizontal transport unit HR 1 and the left lift transport unit VR 1 of the third vacuum transport robot 34 operating in the left half of the third vacuum transport area TE 3 are also the above-described right horizontal transport unit HR 2 and right lift transport. It has the same configuration and function as the part VR 2 . Therefore, the third vacuum transfer robot 34 is connected between the left-hand side load lock module LM U1 on the second floor and the second vacuum-transfer robot 32 on the first floor by the left horizontal transfer unit HR 1 and the left lift transport unit VR 1 . Thus, the semiconductor wafers W can be transferred one by one in both directions.
- the second set (the second floor) load-lock module LM U1, LM U2 of, carried on the mounting table 18 during the loading / unloading arm AM 2 and the semiconductor wafer W A lift pin mechanism for moving the lift pins 17 up and down (in / out) is provided.
- the first set (first floor) of the load lock modules LM L1 and LM L2 and all the process modules PM 1 , PM 2 , PM 3 and PM 4 are also provided with the same lift pin mechanism.
- the first vacuum transfer robot 30 and the first set of load lock modules LM L1 and LM L2 on the first floor accessible by the vacuum transfer robot 30 and the first The set of process modules PM 1 and PM 2 constitutes an independent first vacuum transfer and processing unit 60 (FIG. 3).
- the second set of load lock modules LM U1 and LM U2 on the second floor that are accessible constitute an independent second vacuum transfer and processing unit 62 (FIG. 4).
- the first and second processing units 60 and 62 can perform the same process or different processes in parallel or simultaneously on the semiconductor wafer W put into the vacuum processing apparatus.
- the linear transport unit 36 of the horizontal transport units HR 1 and HR 2 uses a belt mechanism, but other linear drive mechanisms such as a ball screw mechanism and a linear motor may be used.
- the atmospheric system of the vacuum processing apparatus includes a load lock module LM L1 , LM L2 , LM U1 , LM U2 , a loader module 15 connected to the load lock module LM L1 , LM U1 , LM U2 via door valves DV L1 , DV L2 , DV U1 , DV U2
- the load ports LP 1 to LP 4 are arranged in a horizontal row so as to face the load lock modules LM L1 , LM L2 , LM U1 , LM U2, and for example 25 batches per batch or 1 lot with the external transport vehicle It is used for loading and unloading a wafer cassette CR capable of storing the semiconductor wafer W.
- the wafer cassette CR is SMIF (Standard Mechanical Interface) or FOUP (Front It is configured as a box or pod such as Opening Unified Pod).
- the first atmospheric transfer robot 64 is configured as a vertical articulated robot that does not have a horizontal slide axis, and is moved up and down in the loader module 15, for example, to the left of the left door valves DV L1 and DV U1. It has a possible main body 65 and a pair of arms J a and J b (only one arm is shown) extending from the main body 65 into the air and turning around a plurality of rotating shafts. Each of the arms J a and J b can hold or support one semiconductor wafer W with its fork-shaped end effector.
- the first atmospheric transfer robot 64 includes the wafer cassette CR and all the load lock modules LM L1 placed in any one of the three load ports LP 1 , LP 2 , LP 3 except for the rightmost load port LP 4. , LM L2 , LM U1 , LM U2 , and the semiconductor wafers W are taken out (unloaded) one by one from the wafer cassette CR or load lock module LM to be accessed, or loaded one by one ( In addition, the processed wafer W i and the unprocessed wafer W j can be exchanged by the pick and place method in one access.
- the second atmospheric transfer robot 66 is also configured as a vertical articulated robot that does not have a horizontal slide axis, and is moved up and down in the loader module 15, for example, to the right of the right door valves DV L2 and DV U2. It has a possible main body 67 and a pair of arms K a , K b (only one arm is shown) extending from the main body 67 into the air and turning around a plurality of rotating shafts. Each of the arms K a and K b can hold or support one semiconductor wafer W with its fork-shaped end effector.
- the second atmospheric transfer robot 66 includes the wafer cassette CR and all the load lock modules LM L1 placed in any one of the three load ports LP 2 , LP 3 , LP 4 except the leftmost load port LP 1. , LM L2 , LM U1 , LM U2 , and the semiconductor wafers W are taken out (unloaded) one by one from the wafer cassette CR or load lock module LM to be accessed, or loaded one by one ( The wafers W i that have been processed by the pick-and-place method (a method in which a wafer is first received from the other party and another wafer is transferred to the other party in place of it) in one access. it is also possible to exchange the wafer W j.
- both the first and second atmospheric transfer robots 64 and 66 can access the cassette CR placed in one of the two intermediate load ports LP 2 and LP 3.
- the semiconductor wafer W can be taken out / loaded at different timings so that the hands or arms of the two do not interfere with each other (do not collide).
- the left end load port LP 1 is dedicated to the first atmospheric transfer robot 64, and the right end load port LP 4 is dedicated to the second atmospheric transfer robot 66.
- one of the first and second atmospheric transfer robots 64 and 66 accesses the cassette CR on the intermediate common load ports LP 2 and LP 3 , and the other is the load lock module LM L1 and LM.
- the operation of accessing any one of L2 , LM U1 and LM U2 can be performed simultaneously or in parallel, and each atmospheric transfer robot 64 can perform each handling or arm operation quickly and safely.
- , 66 are composed of vertical articulated robots without horizontal slide axes, and load ports LP 1 to LP 4 are provided at positions lower than the load lock modules LM L1 and LM L2 on the first floor as shown in FIG. Yes.
- each load lock module LM L1 , LM L2 , LM U1 , LM U2 is configured as an independent unit having an individual load lock chamber 16 and is equipped with an orientation flat alignment mechanism.
- a spin chuck is attached to the mounting table 18 and an optical sensor for optically detecting the notch of the semiconductor wafer W in the azimuth angle direction is provided, so that the orientation flat is adjusted for each module (unit).
- the first and second atmospheric transfer robots 64 and 66 alternately access the cassette CR being processed or in process on the common load ports LP 2 and LP 3. Since the semiconductor wafer W can be taken out and loaded quickly and smoothly, the transfer efficiency of the atmospheric system is greatly improved, and the high throughput of the vacuum system can be dealt with without difficulty.
- the cluster tool method targets the semiconductor wafers W in the cassettes CR 2 and CR 3 loaded in the intermediate load ports LP 2 and LP 3 that can be accessed simultaneously by the time-sharing method.
- all process modules PM 1 , PM 2 , PM 3 , and PM 4 are uniformly subjected to plasma treatment under the same conditions, and particularly when a short-time process (for example, 30 seconds or less) is performed with high throughput. Is preferably adopted.
- the first atmospheric transfer robot 64 is exclusively responsible for the first set (first floor) of the load lock modules LM L1 and LM L2
- the second atmospheric transfer robot 66 is exclusively assigned to the second set (2 In charge of the load lock modules LM u1 and LM u2 of the first floor).
- “66” and “64” represent the operation sequences of the atmospheric transfer robots 66 and 64, respectively.
- “LP” indicates that the arms J a (J b ) and K a (K b ) are moved toward the load port LP (in this example, LP 2 and LP 3 ), and “return” indicates It represents the operation of returning the load lock module LM (LM L1, LM L2, LM U1, LM U2) arms J a (J b) from the load lock chamber 16, by leaving the K a (K b) in the original arm position .
- LM L1 ”, “LM U1 ”, “LM L2 ”, and “LM U2 ” represent the operation sequences of the load lock modules LM L1 , LM U1 , LM L2 , and LM U2 , respectively.
- vac means that the load lock chamber 16 is evacuated
- atm means that the load lock chamber 16 is purged or opened to the atmosphere.
- “HR 1 ”, “HR 2 ”, “VR 1 ”, and “VR 2 ” in the figure are the left horizontal transfer unit HR 1 , right horizontal transfer unit HR 2 , and left side lift of the third vacuum transfer robot 34.
- the operation sequences of the transport unit VR 1 and the right up / down transport unit VR 2 are respectively shown.
- “30” and “32” represent operation sequences of the first and second vacuum transfer robots 30 and 32, respectively.
- PM 1 ”, “PM 3 ”, “PM 4 ”, and “PM 2 ” represent operation sequences of the process modules PM 1 , PM 3 , PM 4 , and PM 2 , respectively.
- the second atmospheric transfer robot 66 uses one of the arms K a and K b and moves to the cassette CR 2 on the load port LP 2 during the period from t 0 to t 1. , T 1 to t 2, the first wafer W 1 is taken out from the cassette CR 2, and during the period from t 2 to t 3 , the wafer W is transferred to the left load lock module LM U1 of the second set (second floor). Carry 1 in. Then, the second atmospheric transfer robot 66 returns the arms K a and K b used this time from the standby position of the load lock module LM U1 to the original arm position during the period from t 3 to t 4 .
- the load-lock module LM U1 In the load-lock module LM U1 has been carried the wafer W 1, carry out the evacuation during the period of t 3 ⁇ t 5.
- the door valve DV U1 When the wafer W is loaded from the loader module 15 to the load lock module LM U1 , or conversely, when the wafer W is unloaded from the load lock module LM U1 to the loader module 15, the door valve DV U1 is set. Open temporarily.
- the other load lock modules LM U2 , LM L1 , LM L2 when the wafer W is loaded / unloaded to / from the loader module 15, the door valves DV U2 , DV L1 , DV L2 are respectively Open temporarily.
- the first atmospheric transfer robot 64 uses one of the arms J a and J b and moves to the cassette CR 2 during the period from t 2 to t 3 , during the period from t 3 to t 4 .
- the second wafer W 2 is taken out from the cassette CR 2, and the wafer W 2 is loaded into the left load lock module LM L 1 of the first group (first floor) during the period from t 4 to t 5 .
- the first atmospheric transfer robot 64 returns from the standby position of the load lock module LM L1 to the original arm position during the period from t 5 to t 6 .
- Wafer W 2 load-lock module LM L1 which has been carried the performs evacuated during the period of t 5 ⁇ t 7.
- the second atmospheric transfer robot 66 uses either one of the arms K a and K b and moves to the cassette CR 2 on the load port LP 2 during the period from t 4 to t 5 , and t 5
- the third wafer W 3 is taken out from the cassette CR 2 during the period from t 6 to t 6 , and the wafer W 3 is loaded into the right load lock module LM U2 of the second set (second floor) during the period from t 6 to t 8.
- the second atmospheric transfer robot 66 performs the odd-numbered wafers W 1 in a constant cycle until the first wafer W 1 that has been processed returns to the atmospheric system from the vacuum system as described later.
- W 3 , W 5 , W 7 ... Are sequentially transferred one by one from the cassette CR 2 to one of the load lock modules LM U1 and LM U2 in the second set (second floor).
- the first atmospheric transfer robot 64 uses either one of the arms J a and J b and moves to the cassette CR 2 on the load port LP 2 during the period from t 5 to t 6 , to t 8.
- the fourth wafer W 4 is taken out from the cassette CR 2 during the period from t 10 to t 10 , and the wafer W 4 is loaded into the right load lock module LM L 2 of the first set (first floor) during the period t 10 to t 11.
- the first atmospheric transfer robot 64 performs even-numbered wafers W 2 in a constant cycle until the processed second wafer W 2 returns from the vacuum system to the atmospheric system as described later.
- W 4 , W 6 , W 8 ... Are sequentially transferred one by one from the cassette CR 2 to one of the first set (first floor) load lock modules LM L1 and LM L2 and transferred.
- the left load lock module LM U1 of the second set (second floor) in which the first wafer W 1 has been put in and evacuated the left side of the third vacuum transfer robot 34 during the period from t 6 to t 7.
- the horizontal transfer unit HR 1 carries the wafer W 1 out of the load lock chamber 16, that is, to the vacuum processing chamber 10.
- the gate valve GV U1 Will temporarily open.
- the gate valves GV U2 , GV L1 , GV L2 are respectively Open temporarily.
- the left horizontal transfer unit HR 1 moves along the left horizontal transfer path on the second floor in a horizontal straight line with the first wafer W 1 unloaded from the load lock module LM U1, and moves 2 during the period from t 8 to t 9.
- the first vacuum transfer robot 30 Uses the transfer arms F a and F b to unload the second wafer W 2 from the load lock chamber 16.
- the first vacuum transfer robot 30 performs a swiveling motion, and loads the wafer W 2 into one process module PM 1 in the first set during the period t 12 to t 14 .
- the second set of the one wafer W 1 to the process module PM 3 1 th of loading which the first set of the one process module PM 1 is a second wafer W 2 at the same time It is brought in.
- Both process modules PM 3 and PM 1 perform plasma processing on the wafers W 1 and W 2 under the same process conditions during a period from t 14 to t 26 .
- the period from t 12 to t 13 is reached.
- the right horizontal transfer unit HR 2 of the third vacuum transfer robot 34 carries the wafer W 3 out of the load lock chamber 16.
- the right horizontal transfer unit HR 2 moves along the right horizontal transfer path on the second floor in a horizontal straight line with the third wafer W 3 unloaded from the load lock module LM U 2, and moves 2 during the period from t 14 to t 15.
- KaiUtsuri mounting position TP U right vertical transport section VR 2 buffer 48U, either 48L to pass the wafer W 3.
- Right vertical transfer portion VR 2 received a third wafer W 1 is dropped to the ground floor immediately, t 16 ⁇ t 18 during the period of 1 KaiUtsuri mounting position TP L second vacuum transfer robot 32 the third wafer W 3 to taken care to.
- the second vacuum transfer robot 32 pulls out the wafer W 3 from the right vertical transfer unit VR 1 with one of the transfer arms F c and F d , the second vacuum transfer robot 32 performs a swiveling motion and performs the second movement during the period from t 19 to t 21 . to carry the wafer W 3 to the set of the other process module PM 4.
- the first load lock module LM L2 of the first set (first floor) in which the fourth wafer W 2 is loaded and evacuation is performed during the period t 11 to t 14 the period t 16 to t 18 .
- the first vacuum transfer robot 30 takes out the wafer W 4 from the load lock chamber 16 by using one of the transfer arms F a and F b .
- the first vacuum transfer robot 30 performs a turning motion, and carries the wafer W 4 into the other process module PM 2 in the first set during the period t 19 to t 21 .
- the second set of other process module PM 4 in the third wafer W 3 is loaded, this and the first set of the other process module PM 2 to the fourth wafer W 4 at the same time It is brought in.
- Both process modules PM 4 and PM 2 perform plasma processing on the wafers W 3 and W 4 under the same process conditions during the period t 21 to t 33 .
- the left horizontal transfer unit HR 1 and the left vertical transfer unit VR 1 perform exactly the same operations as those performed on the first wafer W 1 .
- the second vacuum transfer robot 32 pulls out the fifth wafer W 5 from the right vertical transfer portion VR 1 using either of the transfer arms F c and F d .
- the second vacuum transfer robot 32 performs a swiveling motion to t 26 to t 28. accessing the second set of one process module PM 3 during the transfer arm F c, it unloads the first wafer W 1 processed in the way that any vacant F d, therewith Not to interchange to carry the fifth wafer W 5 of treatment.
- the first vacuum transfer robot 30 receives the transfer arms F a and F b from the right load lock module LM L2 of the first set (first floor) that has been evacuated during the period from t 18 to t 21 . Any one of them is used to carry out the sixth wafer W 6 during the period t 23 to t 25 .
- the first vacuum transfer robot 30 rotates to access the first set of process modules PM 1 during the period t 26 to t 28 , and the transfer arms F a and F b are vacant.
- the second wafer W 2 treated in the way has been carried out, at the same carries the sixth wafer W 6 of unprocessed turnover.
- the fifth wafer W 5 is loaded into the second set of process modules PM 3
- the sixth wafer W 4 is loaded into the first set of process modules PM 1 .
- Both process modules PM 3 and PM 1 perform plasma processing on the wafers W 5 and W 6 under the same process conditions as described above during the period from t 28 to t 41 .
- the second vacuum transfer robot 32 moves to the right side of the third vacuum transfer robot 34 during the period from t 30 to t 32 immediately after the first wafer W 1 is unloaded from the process module PM 3.
- the transfer unit VR 2 is accessed, the processed first wafer W 1 is transferred to the right vertical transfer unit VR 2 (either one of the buffers 48U and 48L), and the right vertical transfer unit VR 2 carries it instead. the seventh of the wafer W 7 of unprocessed receive.
- the first vacuum transfer robot 30 performs the first set (first floor) during the period from t 30 to t 32 immediately after unloading the second wafer W 2 from the process module PM 1 as described above. accessing the right load-lock module LM L2, unloads the eighth wafer W 8 unprocessed from the load lock chamber 16, therewith carries the processed second wafer W 2 of the turnover.
- Load-lock module LM L2 which has been carried the second of the wafer W 2 performs the air release during the period of t 32 ⁇ t 35. Thereafter, the first atmospheric transfer robot 64 accesses the load lock module LM L2 during the period from t 37 to t 39 and unloads the processed second wafer W 2 from the load lock chamber 16. therewith to carry the 12 th wafer W 12 unprocessed turnover.
- the first atmospheric transfer robot 64 that has carried out the processed second wafer W 2 from the load lock module LM L 2 in this way waits for the cassette CR 2 on the load port LP 2 during the period from t 39 to t 41. Go to return the wafer W 2 during the t 41 ⁇ t 42, take out the 14 th wafer W 14 unprocessed.
- the third vacuum transfer robot 34 that has received the processed first wafer W 1 from the second vacuum transfer robot 32 to the right vertical transfer unit VR 2 during the period from t 30 to t 32. , T 41 to t 42 , the wafer W 1 is transferred from the right vertical transfer unit VR 2 to the right horizontal transfer unit HR 2 , and the second set (second floor) of the right load is loaded during the period t 43 to t 44. to carry the wafer W 1 to the lock module LM U2.
- the first of the wafer W 2 load-lock module LM U2 which has been carried the performs the air release during the period of t 44 ⁇ t 47. Thereafter, the second atmospheric transfer robot 66 accesses the load lock module LM U2 during the period from t 47 to t 48 and unloads the processed first wafer W 1 from the load lock chamber 16. and at the same to carry the 15 th of the wafer W 15 of unprocessed turnover.
- the second atmospheric transfer robot 66 that has carried out the processed first wafer W 1 from the load lock module LM U 2 in this way waits for the cassette CR 2 on the load port LP 2 during the period t 48 to t 49. To move the wafer W 1 back and take out the unprocessed 17th wafer W 17 during the period from t 50 to t 51 .
- the second vacuum transfer robot 32 accesses the other process module PM 4 in the second set during the period from t 33 to t 35 and unloads the processed third wafer W 3 . at the same carries the seventh of the wafer W 7 of unprocessed turnover.
- the first vacuum transfer robot 30 accesses the other process module PM 2 in the first set during the period from t 33 to t 35 and unloads the processed fourth wafer W 4. to carry the eighth wafer W 8 of unprocessed turnover.
- the second vacuum transfer robot 32 performs the left-side vertical movement of the third vacuum transfer robot 34 during the period from t 37 to t 39 immediately after the third wafer W 3 is unloaded from the process module PM 4.
- the transfer unit VR 1 is accessed, and the processed third wafer W 3 is transferred to the left vertical transfer unit VR 1 (either one of the buffers 48U and 48L). Instead, the left vertical transfer unit VR 1 has transferred it. receive the ninth of the wafer W 9 untreated.
- the first vacuum transfer robot 30 performs the first set (first floor) during the period from t 37 to t 39 immediately after unloading the fourth wafer W 4 from the process module PM 4 as described above. accessing the left load-lock module LM L1, it unloads the 10 th wafer W 10 unprocessed from the load lock chamber 16, therewith carrying processed fourth wafer W 4 in turnover.
- the fourth of the wafer W 4 load-lock module LM L1 which has been carried the performs the air release during the period of t 39 ⁇ t 42. Thereafter, the first atmospheric transfer robot 64 accesses the load lock module LM L1 during the period from t 44 to t 45 and unloads the processed fourth wafer W 4 from the load lock chamber 16. and at the same to carry the 14 th of the wafer W 14 of unprocessed turnover.
- the first atmospheric transfer robot 64 that has carried out the processed fourth wafer W 4 from the load lock module LM L 1 in this way waits for the cassette CR 2 on the load port LP 2 during the period from t 45 to t 47.
- the wafer W 4 is returned during the period from t 47 to t 48 , and the unprocessed 16th wafer W 16 is taken out.
- the third vacuum transfer robot 34 that has received the processed third wafer W 3 from the second vacuum transfer robot 32 to the left vertical transfer unit VR 1 during the period t 37 to t 39 as described above.
- T 47 to t 48 the wafer W 3 is transferred from the left vertical transfer unit VR 1 to the left horizontal transfer unit HR 1 , and the second set (second floor) left load is loaded during the period t 48 to t 49. to carry the wafer W 3 to lock module LM U1.
- the third load-lock module LM U1 the wafer W 3 has been carried performs the air release during the period of t 49 ⁇ t 52. Thereafter, the second atmospheric transfer robot 66 accesses the load lock module LM U1 during the period from t 52 to t 53 and unloads the processed third wafer W 3 from the load lock chamber 16. and at the same to carry the outstanding 17 th of the wafer W 17 in turnover.
- the second atmospheric transfer robot 66 that has taken out the processed third wafer W 3 from the load lock module LM U 1 in this way waits for the cassette CR 2 on the load port LP 2 during the period t 54 to t 55. Go to return the wafer W 3, taken out 19 th wafer W 19 unprocessed during the t 55 ⁇ t 56.
- L2 , LM U1 , LM U2 ) and all process modules (PM 1 , PM 2 , PM 3 , PM 4 ) operate at high efficiency with little or no waiting time.
- the first vacuum transfer and processing unit 60 and the second vacuum transfer and processing unit 62 operate independently of each other, and the first vacuum transfer robot 30 is exclusively in the first vacuum transfer / processing unit 60.
- the second set (second floor) of the load lock modules LM U1 and LM U2 and the second set of process modules PM 3 and PM 4 can be transferred.
- the transfer load does not concentrate on the first vacuum transfer robot 30.
- the transfer load is appropriately distributed to all the vacuum transfer robots 30, 32, and 34, the transfer capacity and transfer efficiency of the entire system are high, and the throughput of the single-time process in the cluster tool is greatly improved. Can do. [Other Embodiments or Modifications]
- all the process modules PM 1 , PM 2 , PM 3 , and PM 4 are all uniformly performed in parallel.
- the successively conveyed to perform two or two-step process continuously to the first process module PM 1 to one semiconductor wafer W i in the vacuum transport and processing section 60, PM 2 Meanwhile, continuous two or two-step process in the same process conditions sequentially conveying the different one semiconductor wafer W j in the second vacuum transfer, and a processing unit 62 in the process module PM 3, PM 4 Parallel processing is also possible.
- each of the vacuum transfer and processing units 60 and 62 is arbitrary, and may be, for example, three or more. Also, a configuration in which the main body of the first and / or second vacuum transfer robots 30 and 32 moves in a fixed direction or an arbitrary direction within the first and / or second vacuum transfer areas TE 1 and TE 2 is also possible. It is.
- each load lock module LM L1 , LM L2 , LM U1 , LM U2 accommodates the semiconductor wafer W in the housing 16 in a single unit, so that the inside of the load lock module 16 can be made compact.
- the air supply / exhaust operation and the cooling operation can be performed on a single wafer basis at any time and in a single time.
- a configuration in which a plurality of semiconductor wafers W can be simultaneously accommodated in the load lock chamber 16 is also possible.
- the vacuum transfer chamber 10 is further extended in the depth direction, and another (fourth) vacuum transfer area (not shown) is provided on the first floor portion, and the fourth vacuum transfer area is provided with the fourth vacuum transfer area.
- a configuration is also possible in which a vacuum transfer robot is arranged and a third set of process modules is arranged around it.
- the vacuum transfer chamber 10 has a three-story structure, and a third set (third floor) of a pair of load lock modules is provided on the second set (second floor) of the load lock modules LM U1 and LM U2. .
- the configuration elevating conveyor unit VR 1 to (VR 2) comprises a pair of buffers 48 U, 48 L, the elevation conveyance portion VR 1 and (VR 2) Horizontal
- the unprocessed semiconductor wafer Wi and the processed semiconductor wafer Wj could be exchanged with the transfer unit HR 1 (HR 2 ).
- the lifting / lowering conveyance unit VR 1 (VR 2 ) includes only one buffer 48 is also possible.
- the second vacuum transfer robot 32 includes a pair of transfer arms F c, the pick-and-place method using the F d a semiconductor wafer W i unprocessed from the buffer 48 of the elevating conveyor portion VR 1 (VR 2)
- the semiconductor wafer W j that has been received and has been processed can be delivered instead.
- such semiconductor wafers W i and W j cannot be exchanged between the horizontal transfer unit HR 1 (HR 2 ) and the lift transfer unit VR 1 (VR 2 ). Therefore, as shown in FIG.
- two wafer support portions 70 and 72 that can be moved up and down independently are provided so that the semiconductor wafers W can be mounted and supported one by one in the load lock chamber 16 of each load lock module LM.
- the horizontal transfer unit HR 1 (HR 2 ) loads the processed semiconductor wafer W j carried by one arm AM 1 (AM 2 ) on one wafer support unit 72, for example, Once arm AM 1 (AM 2 ) is withdrawn.
- the load lock chamber 16 retracts the top of the wafer support portion 72 upward, untreated lower wafer towards supporting the semiconductor wafer W i of the support portion 70 of the arm AM 1 (AM 2) Keep it at the same height.
- the horizontal transport section HR 1 (HR 2) receives by insertion or penetration of the empty arms AM 1 (AM 2) into the load lock chamber 16, the semiconductor wafer W i unprocessed from the lower wafer support 70 Then, the arm AM 1 (AM 2 ) is withdrawn.
- the orientation flat alignment mechanism is incorporated in each of the load lock modules LM L1 , LM L2 , LM U1 , LM U2 .
- a dedicated or common orientation flat alignment mechanism at a position accessible to the atmospheric transfer robots 64 and 66 in the atmospheric transfer chamber of the loader module 15.
- the second set (second floor) of the load lock modules LM U1 and LM U2 are arranged on the first set (first floor) of the load lock modules LM L1 and LM L2 .
- a configuration in which the second set (second floor) of the load lock modules LM U1 and LM U2 is arranged on the first vacuum transfer area TE 1 is also possible.
- the arms J a (J b ) and K a (K b ) of the atmospheric transfer robots 64 and 66 are connected to the load lock modules LM L1 and LM L2 of the first set (first floor) from the loader module 15 side.
- the second set (second floor) of the load lock modules LM U1 and LM U2 are accessed through the above.
- the second floor in the third vacuum transfer area TE 3 is reduced by the volume fraction of the load lock module LM L1, LM L2, the size in the depth direction (X direction) is significantly reduced. This shortens the transport distance or the transport time for the horizontal transport units HR 1 and HR 2 of the third vacuum transport robot 34.
- the load lock modules LM U1 and LM U2 in the second set (2nd floor) can be arbitrarily removed. Therefore, maintainability is improved.
- the hatches are opened and an operator enters the opening 13 to replace parts in the vacuum transfer areas TE 1 , TE 2 , TE 3 . Cleaning and the like can be performed.
- An extended ceiling portion (or a separate dustproof wall or dustproof cover) 80 of the loader module 15 is preferably provided above the lock modules LM L1 and LM L2 .
- an air purifier such as a fan filter unit (FFU) 82 is installed on the ceiling of the loader module 15, it is preferable to install the FFU 82 on the extended ceiling 80.
- FFU fan filter unit
- both load locks are provided in the atmospheric transfer chamber. It is also possible to widen the central part of the front side area of the modules LM L1 and LM L2 and arrange one atmospheric transfer robot 84 there.
- the atmospheric transfer robot 84 is configured as a vertical articulated robot that does not have a horizontal slide axis, and a main body 86 and a pair of arms M a that extend from the main body 86 into the air and rotate around a plurality of rotation axes. M b (only one arm is shown).
- Each of the arms M a and M b can hold or support one semiconductor wafer W with its fork-shaped end effector.
- the atmospheric transfer robot 84 accesses the wafer cassette CR on all the load ports LP 1 , LP 2 , LP 3 and LP 4 and all the load lock modules LM L1 , LM L2 , LM U1 and LM U2.
- the semiconductor wafers W can be taken out (unloaded) one by one from the wafer cassette CR or load lock module LM to be accessed (unloaded) or loaded one by one (loaded in).
- the processed wafer Wi and the unprocessed wafer Wj can be exchanged by the pick and place method.
- the transfer speed and transfer efficiency of the atmospheric system are reduced, it is possible to impose the transfer task for the two atmospheric transfer robots 64 and 66 on the single atmospheric transfer robot 84.
- the second set (second floor) of the load lock modules LM U1 and LM U2 is replaced with the first set (first floor) of the load lock modules LM L1 and LM L2 and the second set.
- a configuration is also possible that is arranged over one vacuum transfer area TE1.
- FIG. 10 shows a modification regarding the horizontal transfer unit of the third vacuum transfer robot 34.
- This modification is characterized in that each straight transport section 36 is provided in the space between the left and right horizontal transport sections HR 1 and HR 2 .
- an elongate center frame 90 extending in the depth direction (X direction) is provided between both horizontal transport portions HR 1 and HR 2 , and the respective rectilinear transport portions 36 and 36 are attached to both surfaces of the center frame 90.
- the lift bars (lift shafts) 50 of the left lift transport unit VR 1 and the right lift transport section VR 2 are opposed to the straight transport sections 36 and 36 on the center frame 90 side and close to the left and right side walls of the vacuum transport chamber 10. Arranged.
- the left horizontal transfer unit HR 1 retracts the arm AM 1 forward (closer to the load lock module LM U1 ) when the semiconductor wafer W is transferred to and from the left lift transport unit VR 1. Can do.
- the arm AM 1 is temporarily retracted to move the left lift transport unit VR 1 to 2 Rather than moving downward from the first floor to the first floor, the arm AM 1 can be moved forward while the left lift transport portion VR 1 is held on the second floor. Therefore, it is possible to move forward the arm AM 1, which has received the processed semiconductor wafer W immediately to the indoor load-lock module LM U1 as is. Thereby, it is possible to improve the conveying speed or the conveying efficiency of the left horizontal conveying section HR 1. Since the right horizontal transport unit HR 2 has the same configuration as the left horizontal transport unit HR 1 , the same transport operation can be performed.
- the first and second vacuum transfer areas TE 1 and TE 2 , the first set and the second set of process modules PM 1 to PM 4 , and the first set of loads are provided on the first floor of the vacuum transfer chamber 10.
- the lock modules LM L1 and LM L2 are provided, and the third vacuum transfer area TE 3 and the second set of load lock modules LM U1 and LM U2 are provided on the second floor.
- the first and second vacuum transfer areas TE 1 and TE 2 are arranged on the second floor of the vacuum transfer chamber 10 so that the interior of the vacuum transfer chamber 10 and the surrounding first and second floor portions thereof are turned upside down.
- the first set and the second set of process modules PM 1 to PM 4 , the first set of load lock modules LM L1 and LM L2 are provided, and the third vacuum transfer area TE 3 and the second set of the second set are provided on the first floor.
- a configuration in which the load lock modules LM U1 and LM U2 are provided is also possible.
- the first and second vacuum transfer robots 30 and 32 perform wafer transfer operations in the first and second vacuum transfer areas TE 1 and TE 2 respectively divided in the horizontal direction on the second floor of the vacuum transfer chamber 10.
- a third vacuum transfer robot 34 carries a wafer transfer operation on the first floor and within the third vacuum transfer area TE 3 extending into the opening 13.
- the third vacuum transfer robot 34 has only one set of the horizontal transfer unit HR and the vertical transfer unit VR, or the configuration of the vacuum transfer robot having the functions of the horizontal transfer unit HR and the vertical transfer unit VR in one unit. Etc. are also possible.
- the substrate to be processed in the present invention is not limited to a semiconductor wafer, and may be, for example, an FPD (Flat Panel Display) substrate, or an arbitrary substrate that receives an arbitrary process in a cluster tool type vacuum processing apparatus. .
- FPD Full Panel Display
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Robotics (AREA)
- Mechanical Engineering (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Abstract
Description
[装置全体の構成]
[第3の真空搬送ロボットの具体的構成例]
[大気系の構成]
Opening Unified Pod)等のボックスまたはポッドとして構成されている。
[一実施例における装置全体の動作]
[他の実施形態または変形例]
12 チャンバ
15 ローダ・モジュール
16 ロードロック室
30 第1の真空搬送ロボット
32 第2の真空搬送ロボット
34 第3の真空搬送ロボット
60 第1の真空搬送及び処理部
62 第2の真空搬送及び処理部
64 第1の大気搬送ロボット
66 第2の大気搬送ロボット
84 大気搬送ロボット
LP1~LP4 ロードポート
GV1~GV4 ゲートバルブ
GVL1,GVL2,GVU1,GVU2 ゲートバルブ
DVL1,DVL2,DVU1,DVU2 ドアバルブ
HR1 左側水平搬送部
HR2 右側水平搬送部
VR1 左側水平搬送部
VR2 右側水平搬送部
TE1 第1の真空搬送エリア
TE2 第2の真空搬送エリア
TE3 第3の真空搬送エリア
TPL 1階移載位置
TPU 2階移載位置
Claims (23)
- 室内が減圧状態に保たれる真空搬送室と、
前記真空搬送室内に水平方向で分けられて設けられる第1および第2の真空搬送エリアと、
前記第1の真空搬送エリアに隣接して前記真空搬送室の周囲に配置された第1組のプロセス・モジュールおよび第1組のロードロック・モジュールと、
前記第2の真空搬送エリアに隣接して前記真空搬送室の周囲に配置された第2組のプロセス・モジュールと、
前記第1組のプロセス・モジュールおよび前記第1組のロードロック・モジュールにアクセスして、アクセス先の各モジュールと基板の受け渡しを行い、前記第1の真空搬送エリア内で基板を搬送する第1の真空搬送機構と、
前記第2組のプロセス・モジュールにアクセスして、アクセス先の各モジュールと基板の受け渡しを行い、前記第2の真空搬送エリア内で基板を搬送する第2の真空搬送機構と、
前記第1組のロードロック・モジュールよりも高い階または低い階に配置された第2組のロードロック・モジュールと、
前記真空搬送室内で前記第2組のロードロック・モジュールに隣接して設けられ、前記第2の真空搬送エリアに接続する第3の真空搬送エリアと、
前記第2組のロードロック・モジュールにアクセスしてアクセス先の各モジュールと基板の受け渡しを行うとともに、前記第3の真空搬送エリア内に設けられた第1の移載位置で前記第2の搬送機構と基板の受け渡しを行い、前記第3の真空搬送エリアで基板を搬送する第3の真空搬送機構と
を有する真空処理装置。 - 前記第2組のロードロック・モジュールは、前記第1組のロードロック・モジュールの上または下に配置される、請求項1に記載の真空処理装置。
- 前記第2組のロードロック・モジュールは、前記第1の真空搬送エリアの上または下に配置される、請求項1に記載の真空処理装置。
- 前記第2組のロードロック・モジュールは、前記第1組のロードロック・モジュールおよび前記第1の真空搬送エリアの上または下に跨って配置される、請求項1に記載の真空処理装置。
- 前記第3の真空搬送機構は、前記第3の真空搬送エリア内の前記第1の移載位置の上方または下方に設けられた第2の移載位置で互いに基板の受け渡しを行える水平移動可能な水平搬送部と昇降移動可能な昇降搬送部とを有し、
前記水平搬送部は、前記第2組のロードロック・モジュールと前記第2の移載位置との間で基板を搬送し、
前記昇降搬送部は、前記1の移載位置と前記第2の移載位置との間で基板を搬送する、
請求項1に記載の真空処理装置。 - 前記水平搬送部は、水平な一方向のみで基板の搬送を行うための第1の直進移動機構を有する、請求項1に記載の真空処理装置。
- 前記水平搬送部は、基板を1枚単位で保持または支持できる搬送アームを有する、請求項1に記載の真空処理装置。
- 前記昇降搬送部は、鉛直方向のみで基板の搬送を行うための第2の直進移動機構を有する、請求項1に記載の真空処理装置。
- 前記昇降搬送部は、同時に2枚の基板を載置または支持できる一対のバッファを有する、請求項1に記載の真空処理装置。
- 前記第2組のロードロック・モジュールは、横に並んで配置される一対のロードロック・モジュールを含み、
前記第3の真空搬送機構は、前記横に並んで配置される一対のロードロック・モジュールにそれぞれ対応して、横に並んで設けられ各々独立に動作する一対の前記水平搬送部と、横に並んで設けられ各々独立に動作する一対の前記昇降搬送部とを有する、
請求項5に記載の真空処理装置。 - 多数の基板を一定間隔に並べて出し入れ可能に収納するカセットの投入または払い出しを行うために、前記第1組および第2組のロードロック・モジュールと対向して大気空間内の所定位置に設けられる第1のロードポートと、
前記第1のロードポートに置かれた第1のカセットといずれかの前記ロードロック・モジュールとの間で基板の搬送を行う第1の大気搬送機構と、
前記第1のロードポート上の前記第1のカセットと他のいずれかの前記ロードロック・モジュールとの間で基板の搬送を行う第2の大気搬送機構と
を有し、
前記第1および第2の大気搬送機構が、前記第1のカセットに対して基板の取り出しまたは基板の装入を1枚ずつ交互に行う、
請求項1に記載の真空処理装置。 - 前記第1の大気搬送機構は、前記第1のカセットと前記第1組のロードロック・モジュールとの間で基板の搬送を行い、
前記第2の大気搬送機構は、前記第1のカセットと前記第2組のロードロック・モジュールとの間で基板の搬送を行う、
請求項11に記載の真空処理装置。 - 前記第1の大気搬送機構が基板の取り出しまたは基板の装入のために前記第1のカセットにアクセスする間に、前記第2の大気搬送機構が基板の取り出しまたは基板の装入のために前記第2組のロードロック・モジュールにアクセスし、
前記第2の大気搬送機構が基板の取り出しまたは基板の装入のために前記第1のカセットにアクセスする間に、前記第1の大気搬送機構が基板の取り出しまたは基板の装入のために前記第1組のロードロック・モジュールにアクセスする、
請求項12に記載の真空処理装置。 - 大気空間内で前記第1のロードポートに隣接して設けられる第2のロードポートを更に有し、
前記第1および第2の大気搬送機構が、前記第2のロードポートに置かれた第2のカセットに対しても基板の取り出しまたは基板の装入を1枚ずつ交互に行う、
請求項11に記載の真空処理装置。 - 前記第1および第2の大気搬送機構の各々が、水平方向のスライド軸を持たない多関節ロボットを有する、請求項11に記載の真空処理装置。
- 前記第1組に属する全てのプロセス・モジュールおよび前記第2組に属する全てのプロセス・モジュールが同一の単一プロセスを繰り返し行う、請求項1に記載の真空処理装置。
- 前記第1組のプロセス・モジュールおよび前記第2組のプロセス・モジュールが同一の複合プロセスを繰り返し行う、請求項1に記載の真空処理装置。
- 室内が減圧状態に保たれる真空搬送室と、
前記真空搬送室の周囲に並べて設けられ、減圧下の室内で基板に所定の処理が行われる1つまたは複数のプロセス・モジュールと、
前記真空搬送室の周囲に並べて設けられ、室内が選択的に大気状態または減圧状態に切り換えられ、大気空間と前記真空搬送室との間で転送される基板を一時的に留め置く複数のロードロック・モジュールと、
いずれかの前記ロードロック・モジュールといずれかの前記プロセス・モジュールとの間で、または異なる前記プロセス・モジュールの間で、基板を搬送するために前記真空搬送室内に設けられる1つまたは複数の真空搬送機構と、
多数の基板を一定間隔に並べて出し入れ可能に収納するカセットの投入または払い出しを行うために、前記複数のロードロック・モジュールと対向する大気空間内の所定位置に設けられる第1のロードポートと、
前記第1のロードポートに置かれた第1のカセットといずれかの前記ロードロック・モジュールとの間で基板の搬送を行う第1の大気搬送機構と、
前記第1のロードポート上の前記第1のカセットと他のいずれかの前記ロードロック・モジュールとの間で基板の搬送を行う第2の大気搬送機構と
を有し、
前記第1および第2の大気搬送機構が、前記第1のカセットに対して基板の取り出しまたは基板の装入を1枚ずつ交互に行う、
真空処理装置。 - 前記第1の大気搬送機構が基板の取り出しまたは基板の装入のために前記第1のカセットにアクセスする間に、前記第2の大気搬送機構が基板の取り出しまたは基板の装入のためにいずれかの前記ロードロック・モジュールにアクセスし、
前記第2の大気搬送機構が基板の取り出しまたは基板の装入のために前記第1のカセットにアクセスする間に、前記第1の大気搬送機構が基板の取り出しまたは基板の装入のために他のいずれかの前記ロードロック・モジュールにアクセスする、
請求項18に記載の真空処理装置。 - 大気空間内で前記第1のロードポートに隣接して設けられる第2のロードポートを更に有し、
前記第1および第2の大気搬送機構が、前記第2のロードポートに置かれた第2のカセットに対しても基板の取り出しまたは基板の装入を1枚ずつ交互に行う、
請求項18に記載の真空処理装置。 - 前記第1および第2の大気搬送機構の各々が、水平方向のスライド軸を持たない多関節ロボットを有する、請求項18に記載の真空処理装置。
- 前記複数のプロセス・モジュールの全てが同一のプロセスを繰り返し行う、請求項18に記載の真空処理装置。
- 前記複数のプロセス・モジュールが、第1組のプロセス・モジュールと第2組のプロセス・モジュールとに分けられ、
前記第1組のプロセス・モジュールおよび前記第2組のプロセス・モジュールが同一の複合プロセスを繰り返し行う、
請求項18に記載の真空処理装置。
Priority Applications (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/980,474 US9443749B2 (en) | 2011-01-20 | 2012-01-18 | Vacuum processing apparatus |
KR1020137018956A KR101744372B1 (ko) | 2011-01-20 | 2012-01-18 | 진공 처리 장치 |
JP2012553621A JP6006643B2 (ja) | 2011-01-20 | 2012-01-18 | 真空処理装置 |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2011-009859 | 2011-01-20 | ||
JP2011009859 | 2011-01-20 |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2012098871A1 true WO2012098871A1 (ja) | 2012-07-26 |
Family
ID=46515506
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/JP2012/000271 WO2012098871A1 (ja) | 2011-01-20 | 2012-01-18 | 真空処理装置 |
Country Status (5)
Country | Link |
---|---|
US (1) | US9443749B2 (ja) |
JP (1) | JP6006643B2 (ja) |
KR (1) | KR101744372B1 (ja) |
TW (1) | TWI571953B (ja) |
WO (1) | WO2012098871A1 (ja) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2014149862A1 (en) * | 2013-03-15 | 2014-09-25 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US11302521B2 (en) | 2018-04-18 | 2022-04-12 | Tokyo Electron Limited | Processing system and processing method |
JP7511380B2 (ja) | 2020-05-01 | 2024-07-05 | 東京エレクトロン株式会社 | 処理システム |
JP7515323B2 (ja) | 2020-07-09 | 2024-07-12 | 東京エレクトロン株式会社 | 検査装置及び基板搬送方法 |
Families Citing this family (119)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP5060517B2 (ja) * | 2009-06-24 | 2012-10-31 | 東京エレクトロン株式会社 | インプリントシステム |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
JP5883232B2 (ja) * | 2011-03-26 | 2016-03-09 | 東京エレクトロン株式会社 | 基板処理装置 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
JP2014093489A (ja) * | 2012-11-06 | 2014-05-19 | Tokyo Electron Ltd | 基板処理装置 |
KR102227176B1 (ko) * | 2012-11-23 | 2021-03-12 | 피코순 오와이 | Ald 반응기 내에서의 기판 로딩 |
JP6058999B2 (ja) | 2012-12-11 | 2017-01-11 | 株式会社Screenセミコンダクターソリューションズ | 基板処理装置および基板処理方法 |
TWI765789B (zh) * | 2013-01-22 | 2022-05-21 | 美商布魯克斯自動機械美國公司 | 基材運送 |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
KR101527901B1 (ko) * | 2013-10-10 | 2015-06-10 | 피에스케이 주식회사 | 기판 처리 장치 및 기판 반송 방법 |
TWI658531B (zh) * | 2013-11-04 | 2019-05-01 | 應用材料股份有限公司 | 具有增加的側面數量之傳送腔室、半導體裝置製造處理工具、及處理方法 |
CN105849859B (zh) * | 2013-12-26 | 2019-11-01 | 柯尼卡美能达株式会社 | 电子器件的印刷制造系统 |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
KR101722915B1 (ko) * | 2014-10-13 | 2017-04-04 | 주식회사 테스 | 유기금속화학기상증착장치 |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
CN106033737B (zh) * | 2015-03-16 | 2019-01-18 | 中微半导体设备(上海)有限公司 | 真空锁系统及基片传送方法 |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
CN106558520A (zh) * | 2015-09-29 | 2017-04-05 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 晶片传输系统及晶片传输方法 |
JP6089082B1 (ja) * | 2015-09-29 | 2017-03-01 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体 |
US10014196B2 (en) * | 2015-10-20 | 2018-07-03 | Lam Research Corporation | Wafer transport assembly with integrated buffers |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
CN108884561B (zh) * | 2016-11-02 | 2019-08-06 | 株式会社爱发科 | 真空处理装置 |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
CN114709124A (zh) * | 2017-06-14 | 2022-07-05 | 株式会社爱发科 | 真空处理装置 |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
CN108172531B (zh) * | 2017-12-20 | 2021-01-15 | 武汉华星光电半导体显示技术有限公司 | 刻蚀设备 |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
JP7014055B2 (ja) * | 2018-06-15 | 2022-02-01 | 東京エレクトロン株式会社 | 真空処理装置、真空処理システム、及び真空処理方法 |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
CN109244186B (zh) * | 2018-09-19 | 2024-02-27 | 通威太阳能(安徽)有限公司 | 一种新型背钝化背膜正膜机台镀膜连体上下料装置及方法 |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
JP7210960B2 (ja) * | 2018-09-21 | 2023-01-24 | 東京エレクトロン株式会社 | 真空処理装置及び基板搬送方法 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US10998209B2 (en) | 2019-05-31 | 2021-05-04 | Applied Materials, Inc. | Substrate processing platforms including multiple processing chambers |
JP7394554B2 (ja) * | 2019-08-07 | 2023-12-08 | 東京エレクトロン株式会社 | 基板処理システム |
WO2021156985A1 (ja) * | 2020-02-05 | 2021-08-12 | 株式会社安川電機 | 搬送システム、搬送方法および搬送装置 |
CN113966548A (zh) * | 2020-05-21 | 2022-01-21 | 株式会社安川电机 | 搬送设备、搬送方法和搬送系统 |
US12080571B2 (en) | 2020-07-08 | 2024-09-03 | Applied Materials, Inc. | Substrate processing module and method of moving a workpiece |
US11817331B2 (en) | 2020-07-27 | 2023-11-14 | Applied Materials, Inc. | Substrate holder replacement with protective disk during pasting process |
US11749542B2 (en) | 2020-07-27 | 2023-09-05 | Applied Materials, Inc. | Apparatus, system, and method for non-contact temperature monitoring of substrate supports |
US11600507B2 (en) | 2020-09-09 | 2023-03-07 | Applied Materials, Inc. | Pedestal assembly for a substrate processing chamber |
US11610799B2 (en) | 2020-09-18 | 2023-03-21 | Applied Materials, Inc. | Electrostatic chuck having a heating and chucking capabilities |
US11674227B2 (en) | 2021-02-03 | 2023-06-13 | Applied Materials, Inc. | Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure |
US11935770B2 (en) | 2021-02-17 | 2024-03-19 | Applied Materials, Inc. | Modular mainframe layout for supporting multiple semiconductor process modules or chambers |
US11935771B2 (en) | 2021-02-17 | 2024-03-19 | Applied Materials, Inc. | Modular mainframe layout for supporting multiple semiconductor process modules or chambers |
US12002668B2 (en) | 2021-06-25 | 2024-06-04 | Applied Materials, Inc. | Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004289036A (ja) * | 2003-03-25 | 2004-10-14 | Tadamoto Tamai | 真空処理装置 |
JP2006156762A (ja) * | 2004-11-30 | 2006-06-15 | Sumitomo Eaton Noba Kk | ウエハ処理装置及びウエハ処理方法並びにイオン注入装置 |
JP2007533167A (ja) * | 2004-04-16 | 2007-11-15 | アクセリス テクノロジーズ インコーポレーテッド | ワークピース処理システム |
JP2009064864A (ja) * | 2007-09-05 | 2009-03-26 | Hitachi High-Technologies Corp | 半導体処理装置 |
JP2009260087A (ja) * | 2008-04-17 | 2009-11-05 | Dainippon Screen Mfg Co Ltd | 基板処理装置 |
JP2009540613A (ja) * | 2006-06-15 | 2009-11-19 | アプライド マテリアルズ インコーポレイテッド | 複数レベルのロードロックチャンバ、移送チャンバ、及びこれにインターフェイスするのに適したロボット |
JP2010512026A (ja) * | 2006-12-06 | 2010-04-15 | アクセリス テクノロジーズ, インコーポレイテッド | 高生産性ウエハノッチアライメント装置 |
JP2010147207A (ja) * | 2008-12-18 | 2010-07-01 | Tokyo Electron Ltd | 真空処理装置及び真空搬送装置 |
Family Cites Families (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2000195925A (ja) * | 1998-12-28 | 2000-07-14 | Anelva Corp | 基板処理装置 |
US6440261B1 (en) * | 1999-05-25 | 2002-08-27 | Applied Materials, Inc. | Dual buffer chamber cluster tool for semiconductor wafer processing |
US20060156979A1 (en) * | 2004-11-22 | 2006-07-20 | Applied Materials, Inc. | Substrate processing apparatus using a batch processing chamber |
JP4860167B2 (ja) * | 2005-03-30 | 2012-01-25 | 東京エレクトロン株式会社 | ロードロック装置,処理システム及び処理方法 |
JP4098338B2 (ja) * | 2006-07-20 | 2008-06-11 | 川崎重工業株式会社 | ウェハ移載装置および基板移載装置 |
JP5128918B2 (ja) * | 2007-11-30 | 2013-01-23 | 株式会社Sokudo | 基板処理装置 |
JP5000627B2 (ja) * | 2008-11-27 | 2012-08-15 | 東京エレクトロン株式会社 | 基板処理システム |
TWI394224B (zh) * | 2009-02-24 | 2013-04-21 | Intevac Inc | 載送及處理基板之裝置與方法 |
JP5736687B2 (ja) * | 2009-10-06 | 2015-06-17 | 東京エレクトロン株式会社 | 基板処理装置 |
TW201123340A (en) * | 2009-11-12 | 2011-07-01 | Hitachi High Tech Corp | Vacuum processing system and vacuum processing method of semiconductor processing substrate |
-
2012
- 2012-01-18 KR KR1020137018956A patent/KR101744372B1/ko active IP Right Grant
- 2012-01-18 US US13/980,474 patent/US9443749B2/en active Active
- 2012-01-18 JP JP2012553621A patent/JP6006643B2/ja active Active
- 2012-01-18 WO PCT/JP2012/000271 patent/WO2012098871A1/ja active Application Filing
- 2012-01-19 TW TW101102219A patent/TWI571953B/zh active
Patent Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004289036A (ja) * | 2003-03-25 | 2004-10-14 | Tadamoto Tamai | 真空処理装置 |
JP2007533167A (ja) * | 2004-04-16 | 2007-11-15 | アクセリス テクノロジーズ インコーポレーテッド | ワークピース処理システム |
JP2006156762A (ja) * | 2004-11-30 | 2006-06-15 | Sumitomo Eaton Noba Kk | ウエハ処理装置及びウエハ処理方法並びにイオン注入装置 |
JP2009540613A (ja) * | 2006-06-15 | 2009-11-19 | アプライド マテリアルズ インコーポレイテッド | 複数レベルのロードロックチャンバ、移送チャンバ、及びこれにインターフェイスするのに適したロボット |
JP2010512026A (ja) * | 2006-12-06 | 2010-04-15 | アクセリス テクノロジーズ, インコーポレイテッド | 高生産性ウエハノッチアライメント装置 |
JP2009064864A (ja) * | 2007-09-05 | 2009-03-26 | Hitachi High-Technologies Corp | 半導体処理装置 |
JP2009260087A (ja) * | 2008-04-17 | 2009-11-05 | Dainippon Screen Mfg Co Ltd | 基板処理装置 |
JP2010147207A (ja) * | 2008-12-18 | 2010-07-01 | Tokyo Electron Ltd | 真空処理装置及び真空搬送装置 |
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2014149862A1 (en) * | 2013-03-15 | 2014-09-25 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
CN105103266A (zh) * | 2013-03-15 | 2015-11-25 | 应用材料公司 | 用于卤化物驱气的处理系统及方法 |
US9659792B2 (en) | 2013-03-15 | 2017-05-23 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9704723B2 (en) | 2013-03-15 | 2017-07-11 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US11302521B2 (en) | 2018-04-18 | 2022-04-12 | Tokyo Electron Limited | Processing system and processing method |
JP7511380B2 (ja) | 2020-05-01 | 2024-07-05 | 東京エレクトロン株式会社 | 処理システム |
US12040202B2 (en) | 2020-05-01 | 2024-07-16 | Tokyo Electron Limited | Processing system |
JP7515323B2 (ja) | 2020-07-09 | 2024-07-12 | 東京エレクトロン株式会社 | 検査装置及び基板搬送方法 |
Also Published As
Publication number | Publication date |
---|---|
KR101744372B1 (ko) | 2017-06-07 |
KR20140004132A (ko) | 2014-01-10 |
TW201243985A (en) | 2012-11-01 |
TWI571953B (zh) | 2017-02-21 |
US9443749B2 (en) | 2016-09-13 |
JPWO2012098871A1 (ja) | 2014-06-09 |
US20130302115A1 (en) | 2013-11-14 |
JP6006643B2 (ja) | 2016-10-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6006643B2 (ja) | 真空処理装置 | |
US10204810B2 (en) | Linear vacuum robot with Z motion and articulated arm | |
JP3437734B2 (ja) | 製造装置 | |
US6257827B1 (en) | Apparatus and method for transporting substrates | |
TWI408766B (zh) | Vacuum processing device | |
WO2012133218A1 (ja) | 基板処理装置 | |
KR20150039152A (ko) | 로드락 디자인 및 로드락을 사용하기 위한 방법 | |
WO2018016257A1 (ja) | 基板処理装置 | |
TW201330158A (zh) | 真空處理裝置 | |
JP6306813B2 (ja) | モジュール式半導体処理システム | |
KR102058985B1 (ko) | 로드 스테이션 | |
EP1749116B1 (en) | Methods and apparatuses for transferring articles through a load lock chamber under vacuum | |
WO2014070484A1 (en) | Semiconductor device manufacturing line | |
JP2014060338A (ja) | 基板処理装置 | |
US20180033663A1 (en) | Carrier transport device and carrier transport method | |
JP6031304B2 (ja) | 基板処理装置及び基板処理方法 | |
US20020153578A1 (en) | Wafer buffering system | |
TW202312233A (zh) | 具有冗餘度的工廠介面 | |
TW200915464A (en) | Compact substrate transport system with fast swap robot | |
JP2002043389A (ja) | 基板処理装置 | |
JP2013131529A (ja) | 基板処理装置及び半導体装置の製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 12736378 Country of ref document: EP Kind code of ref document: A1 |
|
ENP | Entry into the national phase |
Ref document number: 2012553621 Country of ref document: JP Kind code of ref document: A |
|
ENP | Entry into the national phase |
Ref document number: 20137018956 Country of ref document: KR Kind code of ref document: A |
|
WWE | Wipo information: entry into national phase |
Ref document number: 13980474 Country of ref document: US |
|
NENP | Non-entry into the national phase |
Ref country code: DE |
|
122 | Ep: pct application non-entry in european phase |
Ref document number: 12736378 Country of ref document: EP Kind code of ref document: A1 |