JP2014093489A - 基板処理装置 - Google Patents

基板処理装置 Download PDF

Info

Publication number
JP2014093489A
JP2014093489A JP2012244777A JP2012244777A JP2014093489A JP 2014093489 A JP2014093489 A JP 2014093489A JP 2012244777 A JP2012244777 A JP 2012244777A JP 2012244777 A JP2012244777 A JP 2012244777A JP 2014093489 A JP2014093489 A JP 2014093489A
Authority
JP
Japan
Prior art keywords
vacuum
chamber
vacuum transfer
transfer
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2012244777A
Other languages
English (en)
Inventor
Akira Shimizu
亮 清水
Tamotsu Kazumura
有 和村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2012244777A priority Critical patent/JP2014093489A/ja
Priority to US14/071,205 priority patent/US20140126980A1/en
Priority to KR1020130133008A priority patent/KR20140058370A/ko
Priority to TW102140108A priority patent/TW201428878A/zh
Publication of JP2014093489A publication Critical patent/JP2014093489A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically

Abstract

【課題】限られた面積に真空搬送室やロードロック室を効率的に配置し、且つ、一部の真空搬送室が使用できなくなっても基板の処理を継続することが可能な基板処理装置を提供する。
【解決手段】第1、第2の真空搬送室2A、2Bには、基板搬送機構21が設けられ、基板Wに対して真空処理を行うための処理室5が横方向に隣接して接続されている。ロードロック室3Aは、第1、第2の真空搬送室2A、2Bの間に介在すると共に、ロードロック室3Aには、その上方側の常圧雰囲気との間や第1、第2の真空搬送室2A、2Bとの間に各々仕切り弁G1、G2が設けられている。ロードロック室3A内に設けられ、基板Wが載置される基板載置部31は、常圧雰囲気との間で基板Wの受け渡しが行われる上側位置と、第1、第2の真空搬送室2A、2Bとの間で受け渡しが行われる下側位置との間を昇降する。
【選択図】図1

Description

本発明は、基板に対して真空処理を行うための複数の処理室を備えた基板処理装置に基板を搬入出する技術に関する。
半導体装置の製造工程においては、半導体ウエハ(以下、ウエハという)の搬送機構を備えた共通の真空搬送室に、真空処理を行うための複数の処理室を接続したマルチチャンバやクラスタツールなどと呼ばれる基板処理装置が利用されている。この真空搬送室には、その内部を常圧雰囲気と真空雰囲気とに切り替え自在に構成されたロードロック室と呼ばれる真空予備室が接続される。
例えば特許文献1には、真空搬送室(トランスファーチャンバー2)の側壁面にロードロック室(ロードロックチャンバ6a、6b)を接続した基板処理装置(プラズマ処理装置1)が記載されている。常圧雰囲気と真空雰囲気とに切り替え自在なロードロック室を利用することにより、真空搬送室の真空状態を維持したまま外部との間でウエハの搬入出を行うことができる。
ところが、この種の基板処理装置にて処理されるウエハは、生産性向上の観点から大口径化が進んでおり、近年は直径が450mmのウエハを処理することが可能な基板処理装置の開発が進められている。ウエハの大口径化は、処理室やロードロック室の大型化を招き、基板処理装置のフットプリントの増大をもたらす。
特に、ロードロック室は、ウエハの処理を実行する機器ではないので、特許文献1のように、真空搬送室とロードロック室とが横方向に並べて設けられている場合においてロードロック室の大型化は、限られた基板処理装置の設置スペースを有効に利用するうえでの障害となる。
また、特許文献1に記載の基板処理装置のように、1つの真空搬送室に複数の処理室(ツインチャンバ3)を接続する構成においては、真空搬送室の搬送機構にメンテナンスの必要性が生じたときには、全ての処理室が使用できなくなり、生産性の低下の影響が大きい。
特開2004−153166号公報:段落0032、図1
本発明はこのような事情に鑑みてなされたものであり、その目的は、限られた面積に真空搬送室やロードロック室を効率的に配置し、且つ、一部の真空搬送室が使用できなくなっても基板の処理を継続することが可能な基板処理装置を提供することにある。
本発明に係る基板処理装置は、各々基板搬送機構が設けられ、互いに気密に仕切られて横方向に隣接する第1の真空搬送室及び第2の真空搬送室と、
前記第1の真空搬送室及び第2の真空搬送室に各々横方向に個別に気密に接続され、基板に対して真空処理を行うための処理室と、
前記第1の真空搬送室及び第2の真空搬送室の間に介在し、これら第1の真空搬送室及び第2の真空搬送室の上方側の常圧雰囲気との間、及び第1の真空搬送室との間、並びに第2の真空搬送室との間に各々仕切り弁が設けられたロードロック室と、
前記ロードロック室内に設けられると共に、常圧雰囲気との間で基板の受け渡しが行われる上側位置と、第1の真空搬送室または第2の真空搬送室との間で基板の受け渡しが行われる下側位置と、の間で昇降自在に構成され、基板が水平姿勢で載置される基板載置部と、を備えたことを特徴とする。
また、前記第2の真空搬送室に対して前記第1の真空搬送室とは反対側に隣接して気密に仕切られると共に、基板搬送機構が設けられた第3の真空搬送室と、前記第3の真空搬送室に横方向に気密に接続され、基板に対して真空処理を行うための処理室と、前記第2の真空搬送室及び第3の真空搬送室の間に介在し、これら第2の真空搬送室及び第3の真空搬送室の上方側の常圧雰囲気との間、及び第2の真空搬送室との間、並びに第3の真空搬送室との間に各々仕切り弁が設けられたロードロック室と、を備えてもよい。
前記基板処理装置は以下の特徴を備えていてもよい。
(a)前記第1の真空搬送室、第2の真空搬送室及びロードロック室のいずれかの上方に設けられ、複数の基板を収納して搬送するための搬送容器を載置するための容器載置部と、前記容器載置部に載置された搬送容器と前記ロードロック室内の上側位置にある基板載置部との間で基板の受け渡しを行うために設けられた、昇降自在な垂直搬送機構と、を備えたこと。
(b)工場内の天井搬送機構により搬送容器の受け渡しが行われる搬入出ポートと前記容器載置部との間で搬送容器の移載を行うための容器移載機構を備えたこと。さらに前記搬入出ポートと容器載置部との間の移載経路には中間受け渡し部が設けられ、前記容器移載機構は、前記搬入出ポート及び容器載置部に加え、前記中間受け渡し部との間でも搬送容器を移載するために設けられ、前記搬入出ポート、中間受け渡し部及び容器載置部の上方側に設けられた走行路部材に沿って走行するメイン移載機構と、前記メイン移載機構に設けられ、前記搬入出ポートと、中間受け渡し部と、容器載置部との間で搬送容器を移載するサブ移載機構と、からなること。
(c)前記処理室は、水平回転する回転テーブルに複数の基板を周方向に並べ、回転テーブルを回転させながら処理を行うものであること。
本発明は、第1、第2の真空搬送室の上方側の位置にてロードロック室と常圧雰囲気との間の基板の受け渡しが行われるので、狭い面積内に効率的に第1、第2の真空搬送室及びロードロック室を配置することができる。
また、第1、第2の真空搬送室が横方向に隣接して設けられ、これら第1、第2の真空搬送室の間に介在するようにロードロック室が設けられていると共に、常圧雰囲気、第1、第2の真空搬送室との間に各々仕切り弁が設けられているので、第1、第2の真空搬送室を独立してロードロック室から切り離すことができる。この結果、第1、第2の真空搬送室の一方側を解放しても、他方側は引き続き使用することが可能であり、使用可能な真空搬送室に接続された処理室を利用して基板の処理を継続することができる。
発明の実施の形態に関わる成膜装置の縦断側面図である。 前記成膜装置の内部構成を示す分解斜視図である。 前記成膜装置の第1の横断平面図である。 前記成膜装置に設けられている成膜モジュールの横断平面図である。 前記成膜装置に設けられているキャリア載置部の構成を示す分解斜視図である。 前記成膜装置の第2の横断平面図である。 変形例に係る成膜装置の横断平面図である。
以下、ウエハに対する成膜を行う複数の成膜モジュール5を備えた成膜装置1に本発明を適用した実施の形態について図1〜6を参照しながら説明する。
図1の縦断側面図に示すように、成膜装置1はその外装体を成す筐体11内の空間が区画板410によって上下に区画されており、上方側の空間には、ウエハWを収納したキャリアC(搬送容器)が収容されるキャリア載置領域4が設けられている。一方、下方側の空間においては、キャリアCから取り出されたウエハWの搬送や成膜処理が行われる。
図1〜3に示すように、区画板410の下方側の空間には、互いに気密に仕切られた真空搬送室2A〜2Cが横方向に隣接するように設けられており、各真空搬送室2A〜2Cの内部には、ウエハWの搬送を行う搬送アーム21(基板搬送機構)が設けられている。各搬送アーム21は、ウエハWを支持するためのフォークを4個ずつ備えており、2個のフォークを1組としてウエハWを同時に2枚ずつ搬送することができる。なお図3は、図1に示したA−A’位置にて成膜装置1を矢視した横断平面図である。また、図1においては真空搬送室2B、2Cに設けられている搬送アーム21の一部を省略して表示してある。
本例において、真空搬送室2Aは第1の真空搬送室、真空搬送室2Bは第2の真空搬送室に相当している。また、真空搬送室2Bに対して真空搬送室2Aとは反対側に隣接して設けられた真空搬送室2Cは第3の真空搬送室に相当する。以下の説明では、真空搬送室2A〜2Cが並んでいる方向(図中のY方向)に対し、真空搬送室2Aが配置されている一端を手前側とし、真空搬送室2Cが配置されている他端を奥手側とする。
各真空搬送室2A〜2Cは真空排気ライン22と接続されており、真空排気ライン22の下流には真空ポンプなどからなる共通の真空排気部12が設けられている。各真空排気ライン22には開閉弁V1〜V3が設けられており、開閉弁V1〜V3を開いて真空排気を行うことにより、各真空搬送室2A〜2Cの内部を真空雰囲気することができる。
手前側から見たとき、各真空搬送室2A〜2Cの左右両側面には、真空処理の一種である成膜処理が行われる成膜モジュール5がゲートバルブG3を介して気密に接続されている(図2、3)。本例の成膜装置1には、3基の真空搬送室2A〜2Cに各々2台ずつ成膜モジュール5が接続されていることにより、合計で6台の成膜モジュール5が設けられている。
図4に示すように各成膜モジュール5は、処理室を成す扁平な円筒形状の気密な処理容器51内に、鉛直方向に伸びる中心軸周りに水平回転自在に構成された円板形状の回転テーブル52が配置されており、この回転テーブル52上に例えば6枚のウエハWを周方向に並べて載置することができる。回転テーブル52上に載置されるウエハWの枚数は、6枚に限られるものではないが、既述のように2枚のウエハWを同時に搬送する搬送アーム21を用いる場合には、載置枚数を偶数枚とすると、効率的に搬入出を行える。回転テーブル52の下方側には、回転テーブル52上のウエハWを加熱するための不図示のヒータが設けられている。
処理容器51の天井面には、回転テーブル52の上面との間に形成される空間を2つの処理空間50a、50bに分離するための扇型をした凸状部53が下方側へ突出するように、2箇所に設けられている。凸状部53によって互いに分離された扇形の処理空間50a、50bには、互いに反応する第1、第2の反応ガスを供給するための反応ガスノズル561、562が回転テーブル52の上面に沿って径方向に挿入されている。各反応ガスノズル561、562には、その長さ方向に沿って複数のガス供給孔(不図示)が設けられており、下方側に向けて反応ガスを吐出することができる。
また、回転テーブル52の側方側の下方位置には、各処理空間50a、50bを真空排気するための排気口541、542が設けられており、各反応ガスノズル561、562から供給された反応ガスは、回転テーブル52上に載置されたウエハWの表面を通過して排気口541、542へと排気される。さらに凸状部53には、処理空間50a、50bに供給された反応ガスが互いに混ざり合うことを抑えるために、回転テーブル52の上面と凸状部53の下面との隙間に窒素ガスなどの分離ガスを供給するための分離ガスノズル57が設けられている。
以上に説明した構成を備えた成膜モジュール5においては、処理対象のウエハWは搬入出口55を介して真空搬送室2A〜2Cから搬入され、回転テーブル52上に載置される。ゲートバルブG3が閉じられ、回転テーブル52を回転させると、回転テーブル52上に載置された各ウエハWが、処理空間50a、50bを交互に通過する。そして、ウエハWを加熱しながら各反応ガスノズル561、562から反応ガスを供給すると、ウエハW表面への第1の反応ガスの吸着と、ウエハWに吸着した第1の反応ガスと第2の反応ガスとの反応とが交互に繰り返される。このように、本例の成膜モジュール5は、ウエハWの表面に原子や分子の層を積層させて薄膜を形成するALD(Atomic Layer Deposition)法やMLD(Molecular Layer Deposition)法(以下、これらを総合してALD法と称する)により成膜を行うことができる。
ここで成膜モジュール5にて処理されるウエハWは、内部が真空雰囲気となっている真空搬送室2A〜2Cを介して成膜モジュール5に搬入出される。一方で、区画板410の上方側のキャリア載置領域4においては常圧雰囲気下でキャリアCからのウエハWの搬入出が行われる。このため、真空搬送室2A〜2Cや成膜モジュール5の真空雰囲気を保ったままキャリアCと真空搬送室2A〜2Cとの間でのウエハWの受け渡しをする必要が生じる。そこで前記区画板410の下方側の空間には、その内部を常圧雰囲気と真空雰囲気とで切り替え自在なロードロック室3A、3Bが設けられており、これらロードロック室3A、3Bを介してキャリアCと真空搬送室2A〜2Cとの間のウエハWの搬送が行われる。
本例の成膜装置1において、ロードロック室3A、3Bは、横方向に隣接して配置された真空搬送室2A〜2Cの間に介在するように設けられている。本例では、真空搬送室2A、2Bの間にロードロック室3Aが設けられ、真空搬送室2B、2Cの間にロードロック室3Bが設けられている。図1〜3に示すように、ロードロック室3A、3Bは、上下方向に伸びる直方体形状の容器の下部側の空間が隣り合う真空搬送室2A−2B、2B−2Cの間に挟まれている。一方、各ロードロック室3A、3Bの上部側の空間は、真空搬送室2A〜2Cの天井面よりも上方側へ突出しており、区画板410の下方側の常圧雰囲気に露出している。
各ロードロック室3A、3Bの下部側の空間の側壁面には、ゲートバルブG2により開閉自在に構成され、各真空搬送室2A〜2Cに向けて開口する下部搬入出口361が設けられている。これらの下部搬入出口361を介し、ロードロック室3Aには、真空搬送室2A、2B内の搬送アーム21が進入可能であり、またロードロック室3Bには真空搬送室2B、2C内の搬送アーム21が進入可能である。
一方、真空搬送室2A〜2Cの上方側へ突出したロードロック室3A、3Bの上部側の空間の側壁面には、ゲートバルブG1により開閉自在に構成された上部搬入出口362が設けられている。上部搬入出口362は、ロードロック室3A、3Bの互いに対向する側壁面に開口しており、後述の垂直搬送アーム421はこの上部搬入出口362を介してロードロック室3A、3Bの内部に進入することができる。
ロードロック室3A、3Bに設けられているゲートバルブG1、G2は、これらロードロック室3A、3Bと、真空搬送室2A〜2Cとの間、及びロードロック室3A、3Bの上部側の常圧雰囲気との間を仕切る仕切り弁に相当する。
図1、3に示すように、各ロードロック室3A、3Bの内部には、平面形状が矩形の板材から成る2枚のウエハ載置部31(基板載置部)が上下方向に間隔を開けて棚状に配置されている。各ウエハ載置部31の上面には、手前側から見て2枚のウエハWを左右に並べて載置可能な2つのウエハ載置領域が設けられており、各ウエハ載置領域には例えば3本の支持ピン32が設けられている。これら支持ピン32上にウエハWを載置することにより、ウエハ載置部31はウエハWを水平姿勢で保持する。
図3に示すように手前側から見てウエハ載置部31の左右両側面には、ロードロック室3A、3Bの内壁面に沿って上下方向に伸びる昇降レール34と、ウエハ載置部31を支持し、この昇降レール34に案内されて走行するスライダ33とを含む昇降機構が設けられている。スライダ33は、不図示の駆動機構により上下方向に移動自在となっており、上部搬入出口362に臨む位置(上側位置)と下部搬入出口361に臨む位置(下側位置)との間でウエハ載置部31を移動させることができる(図1)。
各ロードロック室3A、3Bは、開閉弁V4、V5が設けられた真空排気ライン35と接続されており、真空排気ライン35は下流側にて既述の真空排気部12に接続されている。また真空排気ライン35は、前記開閉弁V4、V5の上流側において外部の常圧雰囲気を取り込むための外気取り込みライン351に分岐しており、各外気取り込みライン351には開閉弁V6、V7が設けられている。そして、外気取り込みライン351の開閉弁V6、V7を閉じ、真空排気ライン35の開閉弁V4、V5を開いて真空排気を行うことにより、ロードロック室3A、3Bの内部が真空排気される一方、外気取り込みライン351の開閉弁V6、V7を開いて、真空排気ライン35の開閉弁V4、V5を閉じるとロードロック室3A、3Bの内部が常圧雰囲気となる。このようにロードロック室3A、3Bは、その内部雰囲気を、真空雰囲気と常圧雰囲気との間で自由に切り替えることができる。
真空搬送室2A〜2Cの上方側へ突出する2つのロードロック室3A、3Bの間には、区画板410を挟んで上方側の空間(キャリア載置領域4)と下方側の空間との間でウエハWを搬送するための垂直搬送機構42が設けられている。図1、2、6に示すように垂直搬送機構42は、手前側から見てロードロック室3A、3Bの左右両脇の位置に設けられた2枚の側板部423と、これら側板部423に設けられ、上下方向に伸びる昇降レール422と、この昇降レール422に案内されて上下方向に移動自在に構成された垂直搬送アーム421と、を備えている。なお図6は、図1に示したB−B’位置にて成膜装置1を矢視した横断平面図である。
側板部423は、真空搬送室2A〜2Cの上方側へ突出しているロードロック室3A、3Bの上部側の空間の側方位置から上方側へ向けて伸び出し、区画板410に設けられたアクセス口420を貫通してキャリア載置領域4内に突出するように設けられている。昇降レール422は、これら側板部423の互いに対向する面に2つずつ設けられており、各昇降レール422にはウエハWを支持するための2個のフォークを備えた垂直搬送アーム421が設けられている。垂直搬送アーム421は、上部搬入出口362を介してロードロック室3A、3B内に各フォークを進入させ、またアクセス口420の周囲に載置されたキャリアC内にこれらのフォークを進入させることができる。
区画板410の上方側のキャリア載置領域4には、工場内に設けられた走行レール131に沿って移動する天井搬送機構であるOHT(Overhead Hoist Transport)132を介しキャリアCの受け渡しが行われる搬入出ポート415と、前述の垂直搬送機構42を用いてロードロック室3A、3Bとの間でのウエハWの受け渡しが行われるキャリア載置部412(容器載置部)と、これら搬入出ポート415とキャリア載置部412との間のキャリアCの移載経路の途中に設けられ、キャリアCが一時的に載置される中間受け渡し部413と、が設けられている。
図1、6に示すように成膜装置1の筐体11は、キャリア載置領域4にてその前面が開口しており、区画板410はこの開口部を介して前方側に突出している。この突出した領域における区画板410の上面には、OHT132との間でキャリアCの受け渡しが行われる領域である搬入出ポート415が設けられている。この搬入出ポート415には、レール414に沿って前後方向に移動自在な載置台411が設けられており、載置台411は搬入出ポート415と、この搬入出ポート415の後方側であって筐体11の内側に設けられた取り込み位置との間を移動することができる。図5、6に示すように、本例の搬入出ポート415には、手前側から見て4つの載置台411が左右方向に並んで設けられている。
キャリア載置部412は、キャリアCを載置可能な板状の載置台として構成され、垂直搬送機構42が貫通する既述のアクセス口420を前後から挟む位置に、前側に2つ、後側に2つ合計4つ設けられている。例えばキャリアCが、前面に設けられた蓋体を開閉自在に構成されたFOUP(Front Opening Unified Pod)である場合、アクセス口420に臨む位置には当該蓋体の脱着を行うための蓋開閉機構43が設けられる。キャリアCはこの蓋開閉機構43にその前面を対向させてキャリア載置部412上に載置される。キャリア載置部412はこの蓋開閉機構43にキャリアCを接続する位置と、蓋開閉機構43との接続を解除する位置との間で前後方向に移動することができる。なお、本例においては、キャリア載置部412は、ロードロック室3A、3Bの上方に配置されているが、垂直搬送アーム421が進入できる位置に配置されている場合や、キャリアCと垂直搬送アーム421との間でウエハWを搬送する中間搬送機構を用いる場合などは、真空搬送室2A〜2Cの上方にキャリア載置部412を設けてもよい。
キャリア載置領域4の後端部には、搬入出ポート415を介して取り込まれたキャリアCや、キャリア載置部412にてウエハWが取り出されたキャリアCを一時的に載置するための例えば4つの中間受け渡し部413が手前側から見て左右方向に並んで設けられている。例えば中間受け渡し部413は、キャリアCを載置可能な板状の載置台として構成されている。
取り込み位置に移動した載置台411と、キャリア載置部412と、中間受け渡し部413との間のキャリアCの移載は、容器移載機構であるキャリア移載機構44によって実行される。キャリア移載機構44は筐体11の天井面に設けられた走行路部材である走行レール441に沿って走行する水平アーム442と、この水平アーム442に支持された装置内OHT443とを備えている。
図5に示すように、走行レール441は四角い環状の軌道を形成しており、図6にその軌道OBを示すように、アクセス口420を囲んで、取り込み位置に移動した載置台411やキャリア載置部412、中間受け渡し部413の上方側の領域を水平アーム442が移動できるように配置されている。水平アーム442は、走行レール441の軌道と交差する方向に伸びる細長い板状の部材であり、この走行レール441に沿って移動することにより、取り込み位置に移動した載置台411、キャリア載置部412及び中間受け渡し部413に載置されているキャリアCの上方を通過する。
装置内OHT443は、水平アーム442に沿って横方向に移動自在、上下に昇降自在に構成されており、各キャリアCの上面に設けられたフランジ部CFを把持して持ち上げ、キャリアCの移載を実行する。
この装置内OHT443を用い、走行レール441に沿って水平アーム442を移動させ、水平アーム442に沿って装置内OHT443を移動させることにより、図6に一点鎖線の矢印で示すように各位置(取り込み位置に移動した載置台411、キャリア載置部412、中間受け渡し部413)の間でキャリアCを移載することができる。水平アーム442は本例のメイン移載機構に相当し、装置内OHT443はサブ移載機構に相当している。また本例では、搬入出ポート415から取り込み位置にキャリアCを移動させる載置台411についても、搬入出ポート415と容器載置部412や中間受け渡し部413との間でキャリアCを移載する容器移載機構の一部を構成しているといえる。
ここでキャリア移載機構44は、取り込み位置まで移動した載置台411、キャリア載置部412、中間受け渡し部413に載置されているキャリアCの上方側までキャリアCを持ち上げて移載を行ってもよいし、これらのキャリアCの側方を通過するようにキャリアCの移載を行ってもよい。図示の便宜上、図1においてはキャリアCと筐体11の天井面との間の空間や同士の間隔を狭く表示してあるが、実際にはキャリアC同士が干渉せずに移載を行えるスペースが確保されている。
成膜装置1は、CPUと記憶部とを備えたコンピュータからなる制御部6に接続されている。この記憶部には成膜装置1に設けられた各機器を動作させる制御信号を出力するためのステップ(命令)群が組まれたプログラムが記録されている。このプログラムは、例えばハードディスク、コンパクトディスク、マグネットオプティカルディスク、メモリカードなどの記憶媒体に格納され、そこから記憶部にインストールされる。
以上に説明した構成を備えた成膜装置1の動作について説明する。処理対象のウエハWを収納したキャリアCがOHT132によって搬送され、搬入出ポート415の上方で停止すると、図1に示すようにOHT132はキャリアCを降下させて搬入出ポート415に移動した載置台411に載置する。キャリアCを受け取った載置台411は、キャリアCを取り込み位置に移動させ、この位置にてキャリア移載機構44がキャリアCを受け取る。
キャリア移載機構44は、ウエハWの搬入出が行われていないキャリア載置部412がある場合には、そのキャリア載置部412へとキャリアCを移載する一方、空いているキャリア載置部412が無ければ中間受け渡し部413へとキャリアCを移載する。そして、いずれかのキャリア載置部412が空いたら、取り込み位置の載置台411または中間受け渡し部413のキャリアCを当該キャリア載置部412に移載する。
キャリア載置部412が、キャリアCを蓋開閉機構43に接続し、蓋体が取り外されてキャリアCが開放されると、垂直搬送アーム421がキャリアC内に進入して処理対象のウエハWを取り出す。ウエハWを保持した垂直搬送アーム421は下方側へ降下する。一方この垂直搬送アーム421がアクセス可能なロードロック室3A、3Bにおいては、下部搬入出口361側のゲートバルブG2を閉じる一方、上部搬入出口362側のゲートバルブG1を開き、その内部を常圧雰囲気に切り替えた状態で待機している。
ウエハWを保持した垂直搬送アーム421は、待機中のロードロック室3A、3B内に進入し、ウエハ載置部31にウエハWを受け渡す。こうして上下2段のウエハ載置部31に2枚ずつ合計4枚のウエハWが載置されたら、垂直搬送アーム421を退避させ、上部搬入出口362のゲートバルブG1を気密に閉じ、真空排気ライン35を介してロードロック室3A、3B内の真空排気を行う。
ロードロック室3A、3B内の真空度が真空搬送室2A〜2Cと連結可能になったら、ウエハWの搬出が行われる真空搬送室2A〜2Cに面する下部搬入出口361のゲートバルブG2を開くと共に、ウエハ載置部31を降下させる。そして、前記下部搬入出口361を介してロードロック室3A、3B内に搬送アーム21を進入させ、処理対象のウエハWを取り出す。
このとき成膜処理が行われる成膜モジュール5においては、図3に示すようにゲートバルブG3を解放し、搬送アーム21によって成膜処理を終えたウエハWが取り出される一方、ロードロック室3A、3Bから搬送された処理対象のウエハWの搬入を行う。そして回転テーブル52上に6枚の処理対象のウエハWが載置されたら、ゲートバルブG3を閉じ、成膜モジュール5内にて成膜処理を実行する。
一方、成膜処理を終え、成膜モジュール5から取り出されたウエハWは、ロードロック室3A、3B内に搬入されウエハ載置部31に載置される。しかる後、下部搬入出口361のゲートバルブG2を閉じ、外気取り込みライン351を介して外気を取り込み、ロードロック室3A、3Bの内部を常圧雰囲気とする。そして、ウエハ載置部31を上昇させると共に上部搬入出口362のゲートバルブG1を開き、垂直搬送機構42の垂直搬送アーム421を進入させてウエハWを取り出す。
このときキャリア載置部412には処理済みのウエハWを収納するためのキャリアCが載置されており、垂直搬送アーム421はこのキャリアCに進入してウエハWを受け渡す。なお、ウエハWが搬出された後のキャリアCは、キャリア載置部412にて待機していてもよいし、別のキャリアCにおけるウエハWの搬入出動作との干渉を避けるため、一端、中間受け渡し部413に退避させておいてもよい。
キャリアCに所定枚数のウエハWが収納され、蓋体が閉じられたら、キャリア移載機構44はキャリア載置部412から、取り込み位置で待機している載置台411まで当該キャリアCを移載する。キャリアCが載置されると、載置台411は搬入出ポート415までキャリアCを移動させ、OHT132によって当該キャリアCが外部へ搬出される。
このようにして成膜処理を実行している成膜装置1において、例えば中央の真空搬送室2Bにて搬送アーム21のメンテナンスが必要となったとする。この場合には、この真空搬送室2Bに向けて開口している下部搬入出口361をゲートバルブG2にて閉じることにより、当該真空搬送室2Bがロードロック室3A、3Bや真空搬送室2A、2Cから切り離される。
しかる後、真空搬送室2Bの内部を常圧雰囲気とし、例えば成膜モジュール5を取り外して真空搬送室2Bの室内を解放することにより、搬送アーム21のメンテナンスを実施することができる。一方、各ロードロック室3A、3Bは、ゲートバルブG2により当該真空搬送室2Bとは切り離されているので、その内部を真空雰囲気に切り替えることが可能であり、ロードロック室3Aと真空搬送室2Aとの間、ロードロック室3Bと真空搬送室2Cとの間でウエハWの受け渡しを行い、これら真空搬送室2A、2Cに接続されている成膜モジュール5にて成膜処理を実行できる。
例えば図3に示すように、各真空搬送室2A〜2Cに2台ずつ合計6台の成膜モジュール5が設けられている場合において、中央の真空搬送室2Bのメンテナンスを行うと、真空搬送室2A、2Cに接続されている残り4台の成膜モジュール5にて成膜処理を継続できる。従って搬送系の制約がなければ、全成膜モジュール5が使用可能な場合と比較して約67%の稼働率を維持できることになる。上述の例は、真空搬送室2A、2Cのいずれかを切り離す必要が発生した場合にも同様であり、各々約67%の稼働率を維持できる。
次に、例えば手前側のロードロック室3Aにてメンテナンスの必要が発生した場合について検討する。この場合には、当該ロードロック室3Aと中央の真空搬送室2Bとの間に設けられている下部搬入出口361のゲートバルブG2を閉じることにより、メンテナンス対象のロードロック室3Aが中央の真空搬送室2Bから切り離される。一方、メンテナンス対象のロードロック室3AからのみウエハWの搬入出が行われる手前側の真空搬送室2Aは使用することができなくなる。
この結果、手前側のロードロック室3Aのメンテナンスを行う場合であっても、中央及び奥手の真空搬送室2B、2Cは、ロードロック室3Bを用いてウエハWの搬入出を行うことができるので、このこれらの真空搬送室2B、2Cに接続されている成膜モジュール5は使用を継続できる。従ってこの例においても6台中、4台の成膜モジュール5の使用を継続することが可能であり、搬送系の制約がなければ約67%の稼働率を維持できる。これは、後段側のロードロック室3Bにてメンテナンスが必要となった場合にも同様である。
本実施の形態に関わる成膜装置1によれば以下の効果がある。ロードロック室3A、3Bにおいては、真空搬送室2A〜2Cの上方側の位置にて常圧雰囲気との間でのウエハWの受け渡しが行われるので、狭い面積内に効率的に真空搬送室2A〜2C、ロードロック室3A、3Bを配置することができる。
このように、真空搬送室2A〜2Cにロードロック室3A、3Bを積み重ねて配置することにより、ウエハWの搬送系に必要な機器のフットプリントを小さくすることができる。図3に示した成膜モジュール5の配置状態において、成膜装置1のフットプリントに対する各成膜モジュール5内のウエハW(各成膜モジュール5に6枚ずつ合計36枚のウエハWを収容した場合)の合計面積の比を計算したところ、その値は約25%となった。
一方、背景技術にて引用した特許文献1(特開2004−153166号公報)の図1に記載の基板処理装置では、合計6枚のウエハWが基板処理装置のフットプリント全体に占める割合は、約7%であった。従って、本実施の形態に関わる成膜装置1の方が限られたフットプリントを有効にウエハW処理のために利用しているといえる。
また、真空搬送室2A〜2Cが横方向に隣接して設けられ、これら真空搬送室2A−2B、2B−2Cの間に介在するようにロードロック室3A、3Bが設けられていると共に、各ロードロック室3A、3Bには、常圧雰囲気や真空搬送室2A〜2Cとの間に各々仕切り弁(ゲートバルブG1、G2)が設けられている。この構成により、真空搬送室2A〜2Cを独立してロードロック室3A、3Bから切り離すことができるので、真空搬送室2A〜2Cの一部を解放しても、残る真空搬送室2A〜2Cは引き続き使用することが可能であり、これに接続された成膜モジュール5を利用して成膜処理を継続することができる。
ここで、本発明が適用される基板処理装置に設けられる成膜モジュールの構成は、図3、4に示したタイプのものに限れるものではない。例えば図7に示す成膜装置1aに設けられている成膜モジュール5Aのように、固定された載置台上にウエハWを載置し、処理容器(処理室)内に複数種類の反応ガスを切り替えて供給し、ALD法による成膜を行ってもよい。また、成膜の手法はALD法に限られるものではない。例えば金属ソースを処理容器2内に連続的に供給し、加熱されたウエハWの表面で金属ソースを分解させて薄膜を得る熱CVD法や、金属ソースと反応ガスなどをプラズマの存在下で活性化して反応させ連続的な成膜を行うプラズマCVD法などの各種のCVD法を行う成膜モジュールにも本発明は適用することができる。
また、真空処理の種類についても成膜に限定されるものではない。例えばエッチングガスによりウエハW表面の薄膜をエッチングするエッチングモジュールや、エッチングの後、ウエハW表面のレジスト膜をプラズマで分解、除去するプラズマアッシングモジュールなどの処理容器(真空室)を上述の真空搬送室2A〜2Cに接続してもよいことは勿論である。
次に、真空搬送室2A〜2Cとロードロック室3A、3Bのバリエーションについて説明する。図1〜3に示した例においては、3基の真空搬送室2A〜2Cを横方向に隣接して並べこれら真空搬送室2A−2B、2B−2Cの間に合計2基のロードロック室3A、3Bを配置した例を示したが、真空搬送室、ロードロック室の設置数の組み合わせは、この例に限定されない。少なくとも2基の真空搬送室2A、2B(第1、第2の真空搬送室)の間に1基のロードロック室3Aが設けられていれば、真空搬送室2A、2Bの一方側が停止しても、残る真空搬送室2B、2Aに接続された処理モジュール(真空処理が行われる処理室)の使用を継続できる。
この例とは反対に、4基以上の真空搬送室を横方向に隣接して並べ互いに隣り合う真空搬送室の間に3基以上のロードロック室を設ける構成としてもよい。この場合には、4基以上の真空搬送室のうち、隣り合って配置された任意の2基の真空搬送室が「第1、第2の真空搬送室」に相当する。さらに、第2の真空搬送室に対して第1の真空搬送室とは反対の位置に設けられた真空搬送室がある場合には、これが「第3の真空搬送室」に相当することになる。
また、各真空搬送室2A〜2Cに接続される処理モジュールの台数は2台に限定されるものではなく、例えば各真空搬送室2A〜2C内で搬送アーム21を横方向に移動可能に構成し、真空搬送室2A〜2Cの側面に3台以上の処理モジュールを接続してもよい。そして、搬送アーム21、垂直搬送アーム421にて搬送可能なウエハWの枚数、各真空搬送室2A〜2Cや垂直搬送機構42に設けられる搬送アーム21、垂直搬送アーム421の台数、ウエハ載置部31の数やここに載置可能なウエハWの枚数、キャリア移載機構44の設置台数やその構成は、単位時間当たりのウエハWの処理枚数などに応じて適宜、変更してもよいことは勿論である。
さらに本発明は、半導体ウエハに限らずフラットパネルの製造に用いられる角型基板に対して真空処理を行う基板処理装置にも適用することができる。
C キャリア
G1〜G3 ゲートバルブ
W ウエハ
1、1a 成膜装置
132 OHT
2A〜2C 真空搬送室
21 搬送アーム
3A、3B ロードロック室
31 ウエハ載置部
411 搬入出ポート
412 キャリア載置部
413 中間受け渡し部
42 垂直搬送機構
44 内部OHT
441 走行レール
442 水平アーム
443 OHT
5、5A 成膜モジュール
6 制御部

Claims (6)

  1. 各々基板搬送機構が設けられ、互いに気密に仕切られて横方向に隣接する第1の真空搬送室及び第2の真空搬送室と、
    前記第1の真空搬送室及び第2の真空搬送室に各々横方向に個別に気密に接続され、基板に対して真空処理を行うための処理室と、
    前記第1の真空搬送室及び第2の真空搬送室の間に介在し、これら第1の真空搬送室及び第2の真空搬送室の上方側の常圧雰囲気との間、及び第1の真空搬送室との間、並びに第2の真空搬送室との間に各々仕切り弁が設けられたロードロック室と、
    前記ロードロック室内に設けられると共に、常圧雰囲気との間で基板の受け渡しが行われる上側位置と、第1の真空搬送室または第2の真空搬送室との間で基板の受け渡しが行われる下側位置と、の間で昇降自在に構成され、基板が水平姿勢で載置される基板載置部と、を備えたことを特徴とする基板処理装置。
  2. 前記第1の真空搬送室、第2の真空搬送室及びロードロック室のいずれかの上方に設けられ、複数の基板を収納して搬送するための搬送容器を載置するための容器載置部と、
    前記容器載置部に載置された搬送容器と前記ロードロック室内の上側位置にある基板載置部との間で基板の受け渡しを行うために設けられた、昇降自在な垂直搬送機構と、を備えたことを特徴とする請求項1に記載の基板処理装置。
  3. 工場内の天井搬送機構により搬送容器の受け渡しが行われる搬入出ポートと前記容器載置部との間で搬送容器の移載を行うための容器移載機構を備えたことを特徴とする請求項1または2に記載の基板処理装置。
  4. 前記搬入出ポートと容器載置部との間の移載経路には中間受け渡し部が設けられ、
    前記容器移載機構は、前記搬入出ポート及び容器載置部に加え、前記中間受け渡し部との間でも搬送容器を移載するために設けられ、前記搬入出ポート、中間受け渡し部及び容器載置部の上方側に設けられた走行路部材に沿って走行するメイン移載機構と、前記メイン移載機構に設けられ、前記搬入出ポートと、中間受け渡し部と、容器載置部との間で搬送容器を移載するサブ移載機構と、からなることを特徴とする請求項3に記載の基板処理装置。
  5. 前記第2の真空搬送室に対して前記第1の真空搬送室とは反対側に隣接して気密に仕切られると共に、基板搬送機構が設けられた第3の真空搬送室と、
    前記第3の真空搬送室に横方向に気密に接続され、基板に対して真空処理を行うための処理室と、
    前記第2の真空搬送室及び第3の真空搬送室の間に介在し、これら第2の真空搬送室及び第3の真空搬送室の上方側の常圧雰囲気との間、及び第2の真空搬送室との間、並びに第3の真空搬送室との間に各々仕切り弁が設けられたロードロック室と、を備えたことを特徴とする請求項1ないし4のいずれか一つに記載の基板処理装置。
  6. 前記処理室は、水平回転する回転テーブルに複数の基板を周方向に並べ、回転テーブルを回転させながら処理を行うものであることを特徴とする請求項1ないし5のいずれか一つに記載の基板処理装置。
JP2012244777A 2012-11-06 2012-11-06 基板処理装置 Withdrawn JP2014093489A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2012244777A JP2014093489A (ja) 2012-11-06 2012-11-06 基板処理装置
US14/071,205 US20140126980A1 (en) 2012-11-06 2013-11-04 Substrate processing apparatus
KR1020130133008A KR20140058370A (ko) 2012-11-06 2013-11-04 기판 처리 장치
TW102140108A TW201428878A (zh) 2012-11-06 2013-11-05 基板處理裝置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012244777A JP2014093489A (ja) 2012-11-06 2012-11-06 基板処理装置

Publications (1)

Publication Number Publication Date
JP2014093489A true JP2014093489A (ja) 2014-05-19

Family

ID=50622516

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012244777A Withdrawn JP2014093489A (ja) 2012-11-06 2012-11-06 基板処理装置

Country Status (4)

Country Link
US (1) US20140126980A1 (ja)
JP (1) JP2014093489A (ja)
KR (1) KR20140058370A (ja)
TW (1) TW201428878A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10332770B2 (en) 2014-09-24 2019-06-25 Sandisk Technologies Llc Wafer transfer system
JP2020092130A (ja) * 2018-12-03 2020-06-11 東京エレクトロン株式会社 搬送検知方法及び基板処理装置
JP2020527651A (ja) * 2017-07-19 2020-09-10 インテヴァック インコーポレイテッド ナノラミネート光学コーティングを形成するためのシステム

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2008316467A1 (en) * 2007-10-24 2009-04-30 Oc Oerlikon Balzers Ag Method for manufacturing workpieces and apparatus
KR101999838B1 (ko) 2015-08-11 2019-07-15 삼성디스플레이 주식회사 기판 처리 시스템
US11380564B2 (en) 2018-09-19 2022-07-05 Applied Materials, Inc. Processing system having a front opening unified pod (FOUP) load lock
JP7085467B2 (ja) * 2018-12-11 2022-06-16 平田機工株式会社 ロードロックチャンバ
US11721564B2 (en) * 2019-04-08 2023-08-08 Tokyo Electron Limited Substrate processing system and substrate transfer apparatus and method
CN110257802A (zh) * 2019-07-10 2019-09-20 南京爱通智能科技有限公司 一种适用于超大产量原子层沉积设备的速热载具
JP7297650B2 (ja) * 2019-11-27 2023-06-26 株式会社Screenホールディングス 基板処理装置および基板搬送方法
JP7413062B2 (ja) * 2020-02-13 2024-01-15 東京エレクトロン株式会社 搬送装置の教示方法及び処理システム
CN113035752B (zh) * 2021-03-05 2022-11-11 上海广川科技有限公司 负载锁定装置及基片传片方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7089680B1 (en) * 1990-08-29 2006-08-15 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US6280134B1 (en) * 1997-06-17 2001-08-28 Applied Materials, Inc. Apparatus and method for automated cassette handling
JP3286240B2 (ja) * 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6395648B1 (en) * 2000-02-25 2002-05-28 Wafermasters, Inc. Wafer processing system
JP2003124284A (ja) * 2001-10-11 2003-04-25 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US20030194299A1 (en) * 2002-04-15 2003-10-16 Yoo Woo Sik Processing system for semiconductor wafers
JP2004349503A (ja) * 2003-05-22 2004-12-09 Tokyo Electron Ltd 被処理体の処理システム及び処理方法
KR100847888B1 (ko) * 2006-12-12 2008-07-23 세메스 주식회사 반도체 소자 제조 장치
US20080202892A1 (en) * 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
US8950998B2 (en) * 2007-02-27 2015-02-10 Brooks Automation, Inc. Batch substrate handling
JP4796024B2 (ja) * 2007-08-30 2011-10-19 東京エレクトロン株式会社 容器交換システム及び容器交換方法
KR101458909B1 (ko) * 2008-04-03 2014-11-07 삼성디스플레이 주식회사 인 라인 설비
US20100014945A1 (en) * 2008-07-16 2010-01-21 Asm Japan K.K. Semiconductor processing apparatus having all-round type wafer handling chamber
JP5139253B2 (ja) * 2008-12-18 2013-02-06 東京エレクトロン株式会社 真空処理装置及び真空搬送装置
JP2010184760A (ja) * 2009-02-10 2010-08-26 Muratec Automation Co Ltd 移載システム
JP5358366B2 (ja) * 2009-09-14 2013-12-04 東京エレクトロン株式会社 基板処理装置及び方法
JP5562189B2 (ja) * 2010-09-22 2014-07-30 東京エレクトロン株式会社 基板処理装置
US9443749B2 (en) * 2011-01-20 2016-09-13 Tokyo Electron Limited Vacuum processing apparatus
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10332770B2 (en) 2014-09-24 2019-06-25 Sandisk Technologies Llc Wafer transfer system
JP2020527651A (ja) * 2017-07-19 2020-09-10 インテヴァック インコーポレイテッド ナノラミネート光学コーティングを形成するためのシステム
JP7440912B2 (ja) 2017-07-19 2024-02-29 インテヴァック インコーポレイテッド ナノラミネート光学コーティングを形成するためのシステム
JP2020092130A (ja) * 2018-12-03 2020-06-11 東京エレクトロン株式会社 搬送検知方法及び基板処理装置
WO2020116150A1 (ja) * 2018-12-03 2020-06-11 東京エレクトロン株式会社 搬送検知方法及び基板処理装置
JP7199211B2 (ja) 2018-12-03 2023-01-05 東京エレクトロン株式会社 搬送検知方法及び基板処理装置

Also Published As

Publication number Publication date
TW201428878A (zh) 2014-07-16
KR20140058370A (ko) 2014-05-14
US20140126980A1 (en) 2014-05-08

Similar Documents

Publication Publication Date Title
JP2014093489A (ja) 基板処理装置
KR101840552B1 (ko) 로드 로크 장치 및 기판 처리 시스템
TWI717034B (zh) 側儲存倉、電子裝置處理系統、和處理基板的方法
TWI379378B (ja)
JP4454234B2 (ja) プラズマ半導体処理装置及び方法
TWI571953B (zh) 真空處理裝置
TWI526382B (zh) 用於基板處理的叢集式設備
WO2012133218A1 (ja) 基板処理装置
KR100906268B1 (ko) 기판 처리 시스템 및 방법
KR101155534B1 (ko) 진공처리장치
WO2018016257A1 (ja) 基板処理装置
KR20100055358A (ko) 진공 처리 시스템
KR20180111592A (ko) 기판 처리 장치
JP2007149948A (ja) 真空処理装置
KR102033694B1 (ko) 기판 처리 시스템
TW202029390A (zh) 真空處理裝置及基板搬送方法
KR101336420B1 (ko) 진공 처리 장치
KR101364116B1 (ko) 기판 처리를 위한 클러스터 설비
JP2018098387A (ja) 基板処理装置
JP2018093087A (ja) 基板処理装置
JP7458212B2 (ja) 基板搬送システムおよび基板搬送方法
JP6027837B2 (ja) 基板処理装置及び半導体装置の製造方法
JPH04271139A (ja) 半導体製造装置
KR20080071682A (ko) 로드락 챔버 및 이를 이용한 반도체 제조 장치
KR101661217B1 (ko) 로드 포트 그리고 그것을 갖는 클러스터 설비

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150421

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20150929