TW200915464A - Compact substrate transport system with fast swap robot - Google Patents

Compact substrate transport system with fast swap robot Download PDF

Info

Publication number
TW200915464A
TW200915464A TW97118334A TW97118334A TW200915464A TW 200915464 A TW200915464 A TW 200915464A TW 97118334 A TW97118334 A TW 97118334A TW 97118334 A TW97118334 A TW 97118334A TW 200915464 A TW200915464 A TW 200915464A
Authority
TW
Taiwan
Prior art keywords
substrate
transport
module
transfer
arm
Prior art date
Application number
TW97118334A
Other languages
Chinese (zh)
Other versions
TWI474418B (en
Inventor
Christopher Hofmeister
Alexander Krupyshev
Original Assignee
Brooks Automation Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brooks Automation Inc filed Critical Brooks Automation Inc
Publication of TW200915464A publication Critical patent/TW200915464A/en
Application granted granted Critical
Publication of TWI474418B publication Critical patent/TWI474418B/en

Links

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

A substrate processing system including a load port module configured to hold at least one substrate container for storing and transporting substrates, a substrate processing chamber, an isolatable transfer chamber configured to couple the substrate processing chamber and the load port module, and a substrate transport mounted at least partially within the transfer chamber and having arm links configured to support at least one substrate, the arm links being configured to transport the at least one substrate directly between the at least one substrate container and the processing chamber with but one touch of the at least one substrate.

Description

200915464 九、發明說明: 【發明所屬之技術領域】 本實施例一般係關於基板運送系統,尤指一種基板運送裝 置之自動控制轉運臂。 ' 本申請案請求受益於2007年5月18曰申請之美國暫時專 利申請第60/938,913號案,其所揭示之内容全部併入本案做為 參考。 … 【先前技術】 半導體之加工通常牽涉由單一步驟機具執行之多重處理 步驟,諸此處理步驟包括將軟片沈積於基板上之照相腐蝕、乾 式剝膜、斜邊加工以及加熱、冷卻與清潔。 各別處理作業通常在一特殊處理艙内之真空下執行,由於 對每一過程之極端潔淨及精密性之需求,半導體基板之批量處 理已普遍為個別基板處理所取代。此法容許各片基板之處理更 能受控制,然卻限制了系統之整體生產量,蓋因每一處理步 驟,處理艙必須先排氣,裝載基板,再封艙並抽成真空,而處 理元成後,還要進行與上述相反之各個步驟。 為改善其處理能量,依傳統形態,一成群處理艙乃配成圍 繞一傳統基板運送艙,其乃建構成可保持真空;-或更多裝載 閘艙乃透過開、㈣連結至運送艙’運送艙則連結至—前端模 組’且通常多域載埠模組乃耗合至該前端單元。 裝載開讀待處理基板之£盒,職盒係賴置於系統前 =1=端傳遞運送裝置傳送至裝載問,一種建構容納此類 裝載閘乃_於美國專利第5,664,925號案中,該案與 5 200915464 == = :參:一號案專利所揭示之内容 依此形態,循環時 加 ’處理及運送搶可持^減少,而系統之產量卻可大為增 運送搶封閉並排放於t持真空,而僅裝載間在輪轉’於自 端埠隨q '軋之後,裝載閘可承接待處理基板,前 ^埠隨即封閉,且裝成―真空而與運送及處理搶一 自動轉運機構乃裝S於運送搶内,並操作自裝載閘卸下 基^且將其傳送至選定之處雜;處理之後,基板即被機械 手拾起,教運送至下—處理艙或一裝載閘以供卸離運送艙,有 些實例’為定時之目的,這些系統可採用緩衝站,其乃用以貯 放基板於裝載前’或在基板於系統中運送過程之其他時間。 此型之一種系統已揭示於美國專利第5,882,413號案中, «> 及一自動轉運機構之實例亦顯示於美國專利第5,647,724號 案’該案業已移轉予本案申請人,此二專利文獻所揭示之内容 乃全部併入本案做為參考。 業經發現’直徑200mm以上之基板可用傳統成簇(群集) 型系統有效處理’如可瞭解者,傳統成鎮型機具之尺寸大多取 決於,具溝通成簇型機具各處理模組之傳統運送艙之大小’尤 有進者,現已有朝向增加直徑之趨勢,當處理300mm、450mm 或更大直徑時’成簇型系統將變成大而不當;具有配備二臂連 桿之運送裝置之處理系統,可用來減少容積至運送裝置之延伸 比,然而,當基板之直徑或尺寸增大時’運送裝置二臂連桿各 自長度亦隨之增加’因此須增加容積以容納桿臂於運送艙内之 200915464 動作。 於處理裝置成幾何形狀收縮,軟片厚度增加時,意謂縮短 沉澱及移除處理時間,當抽空裝載閘費時較處理時間長時,抽 空較大容積之裝載閘可能與縮短沉澱及移除時間相衝突。 備有一種小型基板運送系統以容許減低裝載閘抽空時間自屬 有利,擁有一種基板運送系統,容許多重處理模組配置成彼此 緊鄰,以使生產設施空間最大化,亦必有利;而擁有一種基板 運送系統,無須使用前端模組設備,可將一裝載閘與一處理模 組直接耦合者,自更為有利。 【發明内容】 本案之一實施例提供一種基板處理系統,該基板處理系統 包括一構成為能夾持至少一供貯存及運送基板之基板盒之裝 載埠模組;一基板處理艙;一構成能耦合該基板處理艙與該裝 載埠模組之可隔離轉運艙;及一至少局部裝設於轉運艙内之基 板運送裝置,且具有構型為可支撐至少一基板之臂連桿,該臂 連桿經構型為可僅以一次碰觸該至少一基板,而直接運送至少 一基板於該至少一基板盒與該處理艙之間。 【實施方式】 前述觀點及所揭示實施例之其他特徵,將配合附圖詳細說 明如下: 圖1A-C係說明依據一實施例之基板處理系統一實例,雖 然所示實施例將參照圖示實施例加以說明,應瞭解所揭示之實 施例乃可具體表現於許多替代形式,此外,任何適當尺寸、形 狀或型式之元件或材料皆能使用。 200915464 如可見於圖1A-C中,處理系統,亦可對照為一成簇機具, 可包含一前端模組設備(EFEM) 150、耦合至EFEM 150第一 側之一或多數裝載埠15卜耦合於EFEM第二側之一或多數裝 載閘110、耦合至裝載閘110之一或多數轉運艙100、及耦合 至轉運艙100之一或多數處理模組120 ;圖1A-C所示之配置 僅屬舉例,而於替代實施例,該機具可有任何其他適意之配 置,裝載閘110及轉運艙100可集體參照為轉運模組101 ;須 知於替代實施例,該裝載閘110可以一基板緩衝裝置取代,亦 應知該緩衝裝置可為任何適當之緩衝裝置,並可包括一基板冷 卻特徵或其他任何適當之特徵如計量系統,以輔助基板之處 理;包含有開縫閥之連接器130可將處理模組120、轉運艙100 及裝載閘110耦合一起如圖示,於替代實施例中,處理模組 120、轉運艙100及裝載閘110可耦合於任何適當形態;於本 實施例中,該處理模組120舆轉運艙100可形成一可泵成真空 之密封殼體,以供基板之處理,且可藉例如真空泵140維持於 真空狀態;裝載閘可轉換於一真空與具某些壓力而非真空之 間,真空泵140亦可使用於抽空裝載閘110 ;如圖所示,裝載 閘110亦可包括一閥160,俾使裝載閘内部自EFEM 150之氣 氛隔離,而容許抽空裝載閘110 ;於替代實施例中,該處理系 統可具有運送艙/裝載閘,如於一真空系統中,無須中介之 EFEM而連結至一裝載埠。 一第一基板運送機械手可至少局部罩覆於EFEM内,俾供 基板自,例如停靠裝載埠151之一基板匣,通過閥160而運送 至裝載閘110 (或緩衝器),該第一機械手可固定或裝設於取 200915464 決於裝載埠151模組及/或使 上,此型運送装¥ 一 裝栽閘110數量之一路徑 6,_,_號中,其戶已陳述於共有之美國專利第 第一機械手可包括—2轴=值^本案者乃全部併入本案,該 降/分度器之裳載埠./ 裝置及/或可包括一基板匣升 運舱議内:供穿過 組⑽間4運艙100可極小板於裝制110與處理模200915464 IX. DESCRIPTION OF THE INVENTION: TECHNICAL FIELD This embodiment relates generally to a substrate transport system, and more particularly to an automatic control transfer arm of a substrate transport device. The present application claims benefit from U.S. Provisional Patent Application Serial No. 60/938,913, filed on May 18, 2007, the disclosure of which is hereby incorporated by reference. [Prior Art] The processing of semiconductors typically involves multiple processing steps performed by a single-step implement, which includes photographic etching, dry stripping, beveling, and heating, cooling, and cleaning of the film deposited on a substrate. Individual processing operations are typically performed in a vacuum in a special processing chamber. Due to the extreme cleanliness and precision required for each process, batch processing of semiconductor substrates has generally been replaced by individual substrate processing. This method allows the processing of each substrate to be more controlled, but limits the overall throughput of the system. For each processing step, the processing chamber must be vented, loaded with substrates, resealed and vacuumed. After Yuancheng, the steps opposite to the above are also carried out. In order to improve the processing energy, in a conventional form, a group of processing cabins is configured to be transported around a conventional substrate, which is constructed to maintain a vacuum; or more loading cages are connected to the transport compartment by opening and (4) The shipping compartment is connected to the front end module and is typically consuming the front end unit. Loading the box for reading the substrate to be processed, the box is placed in front of the system = 1 = the end of the transfer transport device is transferred to the load, a construction to accommodate such a load gate is in the case of US Patent No. 5,664,925, the case And 5 200915464 == = : Participation: The content disclosed in the No. 1 case patent, according to this form, the cycle can be increased by 'processing and transportation, and the output of the system can be greatly increased, the shipment is closed and discharged. Holding the vacuum, and only the loading room is rotated after the 'auto-end 埠 with q' rolling, the loading gate can receive the processing substrate, the front is closed, and it is installed as a vacuum and transport and handle the automatic transfer mechanism. Install the S in the transport and operate the self-loading brake to remove the base and transfer it to the selected place; after processing, the substrate is picked up by the robot and taught to be transported to the lower-processing chamber or a loading gate for Unloading the shipping compartment, some examples 'for timing purposes, these systems may employ a buffer station that is used to store the substrate prior to loading' or other time during which the substrate is transported in the system. A system of this type is disclosed in U.S. Patent No. 5,882,413, the disclosure of which is incorporated herein by reference. The contents disclosed are all incorporated herein by reference. It has been found that 'substrates with a diameter of 200 mm or more can be effectively processed by conventional clustering (cluster) type systems. As can be understood, the size of traditional in-situ machines depends mostly on the traditional shipping compartments that communicate the processing modules of clusters. The size of the 'in particular' has been trending towards increasing the diameter. When dealing with 300mm, 450mm or larger diameters, the clustered system will become large and improper; the processing system with a two-arm connecting device Can be used to reduce the volume to the extension ratio of the transport device, however, when the diameter or size of the substrate increases, the length of the two-arm linkage of the transport device also increases. Therefore, the volume must be increased to accommodate the lever arm in the transport compartment. 200915464 Action. When the treatment device is geometrically contracted and the thickness of the film is increased, it means shortening the sedimentation and removal processing time. When the evacuation of the gate charge is longer than the treatment time, evacuating the larger volume of the load gate may shorten the precipitation and removal time. conflict. A small substrate transport system is provided to allow for reduced loading gate evacuation time. It is advantageous to have a substrate transport system that allows multiple processing modules to be placed in close proximity to each other to maximize production facility space and has a substrate. The transportation system is more advantageous without the need to use the front-end module equipment, and can directly couple a loading gate to a processing module. SUMMARY OF THE INVENTION An embodiment of the present invention provides a substrate processing system including a loading cassette module configured to hold at least one substrate cassette for storing and transporting a substrate; a substrate processing chamber; An detachable transport compartment coupling the substrate processing chamber and the loading cassette module; and a substrate transporting device at least partially mounted in the transport compartment, and having an arm link configured to support at least one substrate, the arm joint The rod is configured to directly contact the at least one substrate in one time and directly transport at least one substrate between the at least one substrate cassette and the processing chamber. [Embodiment] The foregoing and other features of the disclosed embodiments will be described in detail with reference to the accompanying drawings. FIG. 1A-C illustrates an example of a substrate processing system according to an embodiment, although the illustrated embodiment will be implemented with reference to the drawings. For example, it is to be understood that the disclosed embodiments may be embodied in many alternative forms and that any suitable size, shape or type of elements or materials can be used. 200915464 As can be seen in Figures 1A-C, the processing system can also be referred to as a cluster of implements, which can include a front end module device (EFEM) 150, one of the first sides coupled to the EFEM 150, or a plurality of load ports. One or a plurality of load gates 110 on the second side of the EFEM, one or most of the transfer compartments 100 coupled to the load locker 110, and one or more of the processing modules 120 coupled to the transfer compartment 100; the configurations shown in Figures 1A-C are only For example, in the alternative embodiment, the implement can have any other suitable configuration. The loading gate 110 and the transfer compartment 100 can be collectively referred to as the transport module 101. It should be noted that in an alternative embodiment, the loading gate 110 can be a substrate buffer device. Alternatively, it should be understood that the cushioning device can be any suitable cushioning device and can include a substrate cooling feature or any other suitable feature such as a metering system to assist in the processing of the substrate; the connector 130 including the slotted valve can The processing module 120, the transfer compartment 100, and the load locker 110 are coupled together as shown. In an alternative embodiment, the process module 120, the transfer compartment 100, and the load locker 110 can be coupled to any suitable configuration; in this embodiment, The processing module 120 舆 the transfer compartment 100 can form a pumpable vacuum sealed housing for processing the substrate, and can be maintained in a vacuum state by, for example, a vacuum pump 140; the loading gate can be switched to a vacuum and has some pressure Between vacuum and vacuum, the vacuum pump 140 can also be used to evacuate the load lock 110; as shown, the load lock 110 can also include a valve 160 that isolates the interior of the load lock from the atmosphere of the EFEM 150 while allowing the load lock 110 to be evacuated. In an alternative embodiment, the processing system can have a shipping compartment/loading gate, such as in a vacuum system, coupled to a loading magazine without intervening EFEM. A first substrate transport robot can be at least partially covered in the EFEM, and the substrate can be transported to the load gate 110 (or buffer) through the valve 160, for example, by one of the substrates 停 埠 151, the first machine The hand can be fixed or installed in the 200915464. It depends on the loading 埠 151 module and / or on the top, this type of transport loading a number of loading gates 110 one of the paths 6, _, _ number, the household has been stated in the common The first US robot may include - 2 axes = value ^ The case is fully incorporated into the case, the drop/indexer is loaded on the device. / The device and / or may include a substrate lift cabin: for Through the group (10) between the 4 transport compartments 100 can be extremely small in the installation of 110 and processing

:小:與轉運艙連通之_或二寬二= 手可為任何適當之運送機 、、,,寬度,該第-機械 明,基板可於周遭條件下實例以下作將更詳盡之說 .主λ ^ 精弟一運送機械手經由開縫閥13〇 該㈣閘1H)可被封閉、泵成真空並透過;) 3】之知作對轉運餘100開放,於此狀態,基板可供應至 在更小以統之’如以下將更詳盡朗者,其他小型運送系統 =見於共有之美國專利第6,918,731號,及共同受讓之名稱為 、裝載閘之快速交換雙基板運送裝置,,於施5年4月12日申 請之申請第11/104,397號案’其所揭示者藉文中所涉乃全部併 入本案。 圖1B可最清楚看出,多重處理模組12〇可沿著EFEM — 側連續排列,於此實施例,基板轉運系統町構型成使處理模組 可配置於,例如不大於505mm (見圖20)之一距離D,此現 為既有前端傳送系統作業通路間之特定距離之半(如EFEM 150之相對於直徑300mm之基板);在其他實施例中,於EFEM 作業通路間之距離可或多或少於505mm,如以處理例如直徑 200mm或450mm之基板為構型之系統;於替代實施例’處理 200915464 模組及其相關之運送系統(即運送系統100及200 (圖1A及 2Α Β所不))可安置於任何適當距離,如大或小於5〇5mm之 距離、’因此,於此實施例之一成簇機具可構型為使機具寬度 可大致為EFEM或處理模組之寬度所限定。 圖2A及2B顯示依據一實施例之基板運送系統另一實 丫1J ,2A及2B所不運送系統基本上類似上述參照於圖之 送系統並對相同特徵示以相同號碼,然而,於此實例,運 送,及褒載閘乃結合成一單一模組2〇〇,對此以下將作更詳細 j月如可見於圖2B,模組200可容許處理模組120依大 $同於以上參照圖⑴所述形態而連續排列。 圖3A-C更詳細圖解褒載閘11〇、轉運餘及處理模組12〇, ι;ι〇 2中該裝載m顯示具有—罩蓋111 (此提供裝載閘 鞋恭之人口)者僅屬舉例’而於替代實施例巾該運送艘/ 止罩Μ之㈣件可設於罩蓋111周圍以防 何適〜能 漏,於替代實施例中,裝載閘内部則可依任 =1=而射進入者;運送艘⑽亦可具有一罩蓋而, 二、轉運:於二盍111。運送系統也可包括連接至處理模組 310可包括任灯適及=載閘110之一控制器310,該控制器 ⑶轉程式或算法,俾至少控制該處理模組 理描細” 裝載閉11G、相關之閥及真空泵(及Λ戈處 理模組120、轉運搶1〇〇 、次一飞處 理系統之任何其他適當部件)载部份之基板處 自可為成竊結構一部份之制匕310可連接並接受指令 成簇結構控制系 中未示)’具有 糸,叙適當實例,可見於2005年7月u日提 10 200915464 出之美國專利申請第11/178,615號案,其所揭示者藉文中所涉 乃全部併入本案;控制器310可經由連接器300連接至中央控 制器,連接器300可包括電連接器、真空管線連接器、氣體管 線連接器或可供運送系統操控用之任何其他適當連接器。於替 代實施例該控制器310可為中央控制模組之一部份,中央控制 模組可控制整個處理系統,例如圖1A-C及,2A-B所示之處 理系統,應瞭解運送模組200亦可包括基本上類同於控制器 310之一控制器,及電、真空、氣體及/或空氣之連接器例如連 接器300。 茲參照圖4A-B及5A-B,上述裝載閘110設有一大致成 直線之通路(亦即閥460與130乃相距約180度),是以轉運 系統乃大致配置成一直線,在其他實施例中,裝載閘110'、110" 可構型使開縫閥460、130相距90度;如圖4A-B及5A-B可 看出,開缝閥460可安置於裝載閘110'、110"之任一侧;於替 代實施例,運送艙之開缝閥容許基板通路例如於處理模組與 EFEM之間,可彼此具有任何適當關係,如於0度至180度間 之任何適當角度,處理機具因此可依任何所要之配置而構型 (即裝載閘/轉運艙及處理模組係沿著EFEM之侧邊)。 茲參照圖6,圖1A之運送艙100有更詳細之顯示,於此 實施例中,運送艙100上之罩蓋101業經移開以使圖式清晰, 如圖6中可看出,運送艙100可具任何適當形狀之外殼100H, 於此實施例該外殼為矩形,然在替代實施例該外殼可具任何適 當形狀,外殼100H可構型以與接合器130依任何適當形態耦 合,並設一適當之密封件於接合器130與外殼100H間,以防 11 200915464 運送艙100内之氣氛洩漏,運送艙100包括任何適當之轉運裝 置或機械手600以運送基板通過轉運艙100, 一轉運機械手600 實例將進一步詳述於下;轉運艙100内壁(即頂、底及側)可 - 隨基板於艙100内移動之路徑而仿型,俾減低艙100内部之容 積,如前已就所涉併入之美國專利第6,918,731號所述,例如, 轉運艙100内腔100C可設計成僅容許足夠空間得供機械手 600自由操作,藉僅提供足夠之操作空隙於内腔100C之頂、 f , 底及側壁間,轉運艙100之容積可以最小化,當如以下將述及 之例如轉運艙100係直接耦合至一裝載埠模組及處理模組 時,此得使轉運艙100泵成真空之循環時間最小化,以提供一 與基板處理時間一致之循環時間,例如當轉運艙100係直接耦 - 合至一裝載埠模組及處理模組如下將將述及者時。。 茲參照圖8,更清晰顯示圖1A之裝載閘110之剖面圖, 裝載閘110内壁(即頂、底及側)亦可可隨基板於裝載閘110 内移動之路徑而仿型,以減低裝載閘110内部之容積,因此得 使泵成真空或排氣之循環時間減少或最小化,於此實施例且係 ( 僅供舉例之用,裝載閘110之容積VI可約為7公升配以通過 裝載閘110之一氣體流速約每分鐘60標準公升(slpm),於替 . 代實施例則裝載閘110之容積可多於或少於7公升,且具有任 何適當之氣體流速,裝載閘110内之氣體可為任何適當之氣體 包括惰性氣體、調控空氣或大氣,自不在此限;減少容積及增 加流速可容許快速抽/排循環及較高基板產量,裝載閘110可 構型以藉由隔絕氣體之膨脹使微粒污染最小化。 茲參照圖7,運送單元200之轉運艙部200TC有更清晰之 12 200915464 顯示,應注意轉運艙200TC之罩蓋業經移開以求清晰,如可 見於圖7者,其轉運艙係圍覆於外殼200H内,其於本實例乃 成矩形,於替代實施例中,該外殼200H可具任何適當形狀; . 轉運艙200TC内壁顯示於本實例乃基本上順著外殼200H外部 輪廓,然在替代實施例,内壁(即頂、底及側)則可隨著基板 於艙100内移動之路徑而仿型,以減低艙100之内部容積而供 真空抽空目的於類似參照圖6之上述形態。於此實施例中,運 (送艙200TC具有供適當連結開缝閥700至外殼200H之開口 ' 700S,該開缝閥700可用以將轉運艙200TC自處理模組120 及/或裝載閘或轉運模組200之缓衝部隔離,如下將述及者; 如可瞭解者,開縫閥700可藉例如將閥降離或此外之將閥自開 口 700S退耦而輕易操作,無須將轉運艙200TC自運送系統之 其他組件拆卸或斷開;應可知道在其他實施例中,閥700可插 入穿透開口 700S頂部(即自轉運艙200TC内部插穿外殼 200H)而非自開口 700S底部,於替代實施例中,轉運艙200TC 可構型以容許閥700經由轉運艙外殼200H之任何適當側插入 (: /卸離。轉運艙200TC亦可包括一小型連接器230以供連結一 處理模組至該轉運艙200TC,該連接器230可為任何適當構造 . 之連接器,其具備適當密封件以防止處理模組及/或轉運艙:Small: Connected to the transfer compartment _ or 2 Width 2 = Hand can be any suitable conveyor,,, width, the first - mechanical, the substrate can be described in the following conditions in more detail. The main λ ^ The younger brother transports the robot through the slit valve 13 and the (4) gate 1H) can be closed, pumped and vacuumed;) 3] is known to be open to the transfer 100, in this state, the substrate can be supplied to The following is a more detailed description of the following. Other small-sized transport systems are available in the commonly-owned U.S. Patent No. 6,918,731, and the commonly-assigned name is the fast-switching double-substrate transport device for loading gates. Application No. 11/104,397 of the application filed on April 12, the disclosure of which is incorporated herein by reference. As best seen in FIG. 1B, the multiple processing modules 12A can be continuously arranged along the EFEM side. In this embodiment, the substrate transport system is configured such that the processing module can be configured, for example, no larger than 505 mm (see FIG. 20) One of the distances D, which is now half the specific distance between the working paths of the front-end transport system (such as the EFEM 150 relative to the 300 mm diameter substrate); in other embodiments, the distance between the EFEM working paths can be More or less than 505 mm, such as a system configured to process a substrate such as 200 mm or 450 mm in diameter; in an alternative embodiment, 'process the 200915464 module and its associated transport system (ie, transport systems 100 and 200 (Figures 1A and 2Α) Β)) can be placed at any suitable distance, such as a distance of greater than 5 〇 5 mm, 'Thus, one of the clustering implements of this embodiment can be configured such that the width of the implement can be approximately EFEM or a processing module The width is limited. 2A and 2B show another embodiment of the substrate transport system according to an embodiment. The transport system is substantially similar to the above-described reference system and the same features are shown with the same number, however, this example The transport, and the load-carrying gates are combined into a single module, which will be described in more detail in the following. As can be seen in Figure 2B, the module 200 can allow the processing module 120 to be larger than the above reference figure (1). The form is continuously arranged. 3A-C illustrate in more detail the load gate 11〇, the transfer allowance and the processing module 12〇, ι; ι〇2, the load m shows that the cover 111 (this provides the population of the load gate shoes) is only an example In the alternative embodiment, the (four) piece of the transporting boat/stopper can be placed around the cover 111 to prevent any leakage. In an alternative embodiment, the interior of the loading brake can be used according to the === The entrant; the transport vessel (10) may also have a cover and, second, the transport: at 盍111. The transport system may also include a controller 310 connected to the processing module 310, which may include any of the lights and a load switch 110. The controller (3) rotates the program or algorithm, and at least controls the processing module to be finely detailed. The relevant valve and vacuum pump (and any other suitable components of the 处理 处理 processing module 120, the transfer 〇〇 1 〇〇, the second one of the fly processing system) can be used as part of the burglary structure. 310 can be connected and accepted by the instructional cluster structure control system (not shown) 'has a flaw, and a suitable example can be found in the case of US Patent Application No. 11/178,615, which was published on July 5, 2005. The matters referred to in the text are all incorporated into the present disclosure; the controller 310 can be connected to the central controller via the connector 300, and the connector 300 can include an electrical connector, a vacuum line connector, a gas line connector, or can be used for handling of the transport system. Any other suitable connector. In an alternative embodiment, the controller 310 can be part of a central control module that can control the entire processing system, such as the processing systems illustrated in Figures 1A-C and 2A-B. Should The solution transport module 200 can also include a controller substantially similar to one of the controllers 310, and a connector for electrical, vacuum, gas, and/or air, such as the connector 300. Referring now to Figures 4A-B and 5A-B, The loading gate 110 is provided with a substantially straight path (i.e., the valves 460 and 130 are spaced apart by about 180 degrees). The transfer system is generally arranged in a straight line. In other embodiments, the loading gates 110', 110" The slit valves 460, 130 are spaced 90 degrees apart; as can be seen in Figures 4A-B and 5A-B, the slit valve 460 can be placed on either side of the load locks 110', 110 " in an alternative embodiment, transport The slotted valve allows the substrate path, for example between the processing module and the EFEM, to have any suitable relationship to each other, such as any suitable angle between 0 and 180 degrees, so that the processing tool can be configured in any desired configuration (ie, the loading gate/transportation compartment and processing module are along the side of the EFEM.) Referring to Figure 6, the shipping compartment 100 of Figure 1A is shown in more detail. In this embodiment, the cover on the shipping compartment 100 101 has been removed to make the drawing clear, as can be seen in Figure 6, the shipping compartment 100 can have The outer casing 100H of a suitable shape is rectangular in this embodiment. However, in an alternative embodiment, the outer casing may have any suitable shape, and the outer casing 100H may be configured to be coupled with the adapter 130 in any suitable manner, and a suitable one is provided. The seal is between the adapter 130 and the outer casing 100H to prevent leakage of atmosphere within the transport compartment 100 of the 2009 2009 464. The transport compartment 100 includes any suitable transfer device or robot 600 to transport the substrate through the transfer compartment 100, an example of a transfer robot 600 Further details will be provided below; the inner walls of the transfer compartment 100 (i.e., the top, bottom, and sides) may be contoured as the substrate moves within the chamber 100 to reduce the volume of the interior of the lower compartment 100, as previously involved. As described in U.S. Patent No. 6,918,731, for example, the interior 100C of the transfer compartment 100 can be designed to allow only sufficient space for the robot 600 to operate freely, by providing only sufficient operational clearance to the top, bottom and bottom of the inner chamber 100C. Between the side walls, the volume of the transfer compartment 100 can be minimized. When, for example, the transfer compartment 100 is directly coupled to a loading cassette module and a processing module, the transfer compartment 100 is pumped into a true The empty cycle time is minimized to provide a cycle time consistent with substrate processing time, such as when the transfer compartment 100 is directly coupled to a load port module and processing module as will be described below. . Referring to Figure 8, a cross-sectional view of the loading gate 110 of Figure 1A is more clearly shown. The inner walls of the loading gate 110 (i.e., the top, bottom, and sides) may also be contoured with the path of the substrate moving within the loading gate 110 to reduce the loading gate. The internal volume of 110, thus reducing or minimizing the cycle time for vacuuming or venting the pump, in this embodiment (for example only, the volume VI of the load lock 110 may be approximately 7 liters with loading One of the gates 110 has a gas flow rate of about 60 standard liters per minute (slpm). In the alternative embodiment, the load gate 110 may have a volume of more than or less than 7 liters and has any suitable gas flow rate within the load gate 110. The gas may be any suitable gas including inert gas, conditioned air or atmosphere, which is self-existing; reducing volume and increasing flow rate may allow for rapid pumping/discharging cycles and higher substrate throughput, and loadgate 110 may be configured to isolate gas The expansion causes particle contamination to be minimized. Referring to Figure 7, the transfer compartment 200TC of the transport unit 200 has a clearer 12 200915464 display, it should be noted that the cover of the transport compartment 200TC has been removed for clarity, as can be seen in Figure 7. The transfer compartment is enclosed within the outer casing 200H, which is rectangular in this example. In an alternative embodiment, the outer casing 200H can have any suitable shape. The inner wall of the transfer compartment 200TC is shown in this example substantially along the outer casing. 200H outer contour, but in an alternative embodiment, the inner walls (ie, top, bottom, and sides) may be contoured as the substrate moves within the chamber 100 to reduce the internal volume of the chamber 100 for vacuum evacuation purposes. The above embodiment of Fig. 6. In this embodiment, the transporting compartment 200TC has an opening 700S for appropriately connecting the slitting valve 700 to the outer casing 200H, and the slitting valve 700 can be used to transport the transport compartment 200TC from the processing module 120 and / or buffer isolation of the load lock or transfer module 200, as will be described below; as will be appreciated, the slit valve 700 can be easily operated by, for example, lowering the valve or otherwise decoupling the valve from the opening 700S. There is no need to disassemble or disconnect the transfer compartment 200TC from other components of the transport system; it should be understood that in other embodiments, the valve 700 can be inserted into the top of the penetration opening 700S (ie, inserting the interior of the self-transporting compartment 200TC through the outer casing 200H) rather than The bottom of the opening 700S, In an alternative embodiment, the transfer compartment 200TC can be configured to allow the valve 700 to be inserted (: / detached) via any suitable side of the transfer compartment housing 200H. The transfer compartment 200TC can also include a small connector 230 for attaching a processing module To the transfer compartment 200TC, the connector 230 can be any suitably constructed connector having suitable seals to prevent the processing module and/or the transfer compartment

200TC内部氣氛之洩漏者。須知在本實施例中,開縫閥700 係沿轉運艙200TC —内壁安置,然於替代實施例,該開缝閥 700可依大致類同於上述之形態安置於,例如,連接器内,即 如連接器230,例如該連接器可具有一開口大致類似於開口 700S,開縫閥可經由此裝上或卸下,如圖7所示,轉運艙200TC 13 200915464 亦可包括一適當之轉運裝置或機械手600,以供運送基板S通 過轉運艙100如下將述及者。 參照圖9,圖2A之基板處理系統200裝載閘部份200LL 之剖面有更詳細顯示,裝載閘200LL内壁(即頂、底及側) 也可隨著基板於裝載閘部份200LL内移動之路徑而仿型,以 減低裝載閘部份200LL之内部容積,因此得使泵成真空或排 氣之循環時間減少或最小化,於本實施例且僅供舉例之用,裝 載閘部份200LL之容積V2約為3公升,配合通過裝載閘部份 200LL之氣體流速約每分鐘90標準公升(slpm);於替代實施 例則裝載閘之容積可多於或少於3公升,且可具有任何適當之 氣體流速。裝載閘部份200LL内之氣體可為任何適當之氣體 包括惰性氣體、調控空氣或大氣,自不在此限;減少容積及增 加流速可容許快速抽/排之循環及較高基板產量,裝載閘部份 200LL可構型以藉由隔絕裝載閘部份200LL内氣體之膨脹而 使微粒污染最小化。 參照圖9A-9D所示為轉運模組900之另一實施例,於本 實施例,該轉運模組900包括一緩衝部900B及一轉運艙部 900TC形成於,例如,一外殼900H内,於此實施例該外殼900H 可為單一或一體結構,於替代實施例外殼900H則可為一組合 體;該缓衝部900B及一轉運艙部900TC可藉一壁970分隔, 該壁970可包括一開口或狹縫970S以提供基板通路於緩衝部 900B與轉運艙部900TC間,須知緩衝部900B與轉運艙部 900TC之組合體可作用為EFEM與處理模組間之裝載閘;於本 實施例,缓衝部900B與轉運艙900TC可不相互隔離,但在其 14 200915464 他實施例,則可於緩衝部900B與轉運艙部900TC之間,可卸 離地安置一開縫閥,俾使二部相互隔離,及使該緩衝部900B 轉變為,例如,一裝載閘如以下將述及者。緩衝部900B可包 括一基板緩衝裝置920以供緩衝至少一基板,該緩衝部900B 亦可具有校準功能以校準一基板之基準以供處理之用;於其他 實施例,該緩衝部可為熱基板提供冷卻或任何其他支援工法於 基板處理;於另一其他實施例,該缓衝部則可構型以執行任何 適當處理作業於基板上。 轉運艙900TC可包括一轉運機械手930以供運送基板S 自緩衝器920穿過狹縫970S,並穿過閥940V達至一處理模 組,且反之亦然,該閥940V可為供連結轉運模組900至一處 理模組之一連接器940之一部份;於其他實施例,閥940V可 依基本上類同於參照圖7之前述形態,經由一開口插入或連結 至連接器940 ;於其他實施例中,閥940V可如前述,插穿例 如於轉運艙900TC底部之一開口,而抵緊,例如,轉運艙900TC 一内壁;於替代實施例中,閥940V可安置於轉運艙900TC及 /或連接器940之任何適當部分,另一閥960可安置於轉運模 組900另一相對側,以容許將缓衝部900B連結至,例如一 EFEM或處理設備之任何其他適當構件。於此實施例,閥960 顯示為一空氣閥,惟在其他實施例,該閥960可為任何適當之 閥,例如一開縫閥;轉運模組900亦可具有連接器950、95卜 以連結,例如真空及氣體管線至轉運艙900TC及/或缓衝部 900B。 參照圖10A-10D所示為轉運模組900之另一構造實例, 15 200915464 於此構型中,轉運模組外殼900H可備有一開口 1000S穿過例 如該外殼底部’以將一開縫閥1〇〇〇經由開口 1000S適當連結 至該外殼900H ’ 一如參照圖7前已述及者;開縫閥1000可用 - 以將缓衝部自轉運艙900TC隔離,並將該緩衝部轉換成裝栽 閘900LL ’如上所示,開口 i〇〇〇s及閥1〇〇〇可構型成自外殼 底部或自轉運艙内部插穿外殼900H ;於替代實施例,相同之 閥及開口亦可安置於轉運模組900之裝載閘部900LL之内部。 (. 圖HA-12B解說轉運模組900配有轉運機械手930伸展 於各種不同之位置,例如,圖11A及11B顯示轉運機械手930 伸展穿過閥940V ’而圖12A及12B則顯示轉運機械手930伸 展進入轉運模組900之裝載閘900LL (或緩衝部),該轉運機 械手930之動作將更詳細說明於下。 參照圖13A-B、14A-C及15A-B,現就一實施例之基板運 送裝置1400實例加以説明,下述實例之小型、快速交換之雙 向運送裝置可包括一具有不等長臂之二軸線傳動機構,及二差 動連結之末端作用器;於替代實施例,該運送裝置i4〇〇可具 1/ 有任何適當構型備具多於或少於二末端作用器,及/或多於或 少於二傳動軸’如可見於圖13A,運送裝置1400顯示成安置 於,例如’處理系統之運送艙1〇〇内,於替代實施例該運送裝 置1400則可安置於處理系統之任何適當部件内。 如圖13B中可清漤看出,運送裝置1400可備有一二軸線 同軸傳動系統13 01 ’基本上類同於,例如,經陳述於共同申 請之美國專利第11/179,762號申請案,名稱、UNEQUAL LENGTH SCARA ARM (不等長四軸線機械臂)",2005年7 16 200915464 月11曰申請,其所揭示涉及於本案者乃全部併入本案;試舉 一例,傳動系統1301可包括罩覆一同轴軸心總成及二馬達 1362及1366之一外殼1301H;於替代實施例,傳動系統1301 - 可具備多於或少於二具馬達;傳動軸總成1360具有二傳動軸 1368A及1368C,於可替代實施例則可設有多於或少於二組傳 動軸;第一馬達1362包括一定子1378A及一轉子1380A連結 至内軸1368A,第二馬達1366則包括一定子1378C及一轉子 , 1380C連結至外軸1368A,該二定子1378A、1378C乃固定裝 設在外殼1301H於沿該外殼之不同垂直高度或位置;於本實 施例,僅供說明之用,第一定子1378A為下定子,而第二定 子1378C則為上定子,各定子通常包含一電磁線圈,二傳動 軸1368A及1368C係安排成同軸;二轉子1380A、1380C最 好包含有永久磁石,但可包含一不具永久磁石之磁感應轉子予 以取代;套筒1363可安置於轉子1380與定子1378之間,以 容許運送裝置1400得運用於一真空環境,其中傳動軸總成 1360係安置於真空環境之内,而定子1378則安置於該真空環 境之外,然而,若運送裝置1400僅擬用於大氣環境中,則無 須設置套筒1363。於其他實施例,機械手可構型使臂、轉子 — 及定子内部自真空環境隔離;於替代實施例可備有適當密封裝 置以供轉子及定子自真空環境隔離,是以裝載閘之容積並不因 轉運機械手之傳動裝置而增加。 第一轴1368A為内軸,乃延伸自自下定子1378A,該内 軸具有第一轉子1380A與下定子1378A排列成行,外軸1368C 則自上定子1378C向上延伸,該外軸則有第二轉子1380C與 17 200915464 上定子1378C排列成行,不同軸承乃圍繞轴1368及外殼1301H 而設置,俾容許各軸得相對於彼此及外殼1301H而獨立旋轉; 於替代實施例,二馬達1362、1366可構型為自動軸承馬達, 以致轉子1380A、1380C基本上無須接觸,而可藉諸如其對應 定子1378A、1378C施加於轉子1380A、1380C之力,而支撐 於外殼内部;於其他替代實施例,馬達可結合於轉運艙100 之艙壁,如陳述於,例如,美國專利申請名稱“備有馬達結合 於艘壁之基板處理裝置” ,Attorney Docket No.390P013018-US (-#1),U.S. Serial No. : 60/950,33 卜 2007 年7月17日申請;及美國專利申請名稱“基板運送裝置”, Attorney Docket N〇.390P010885-US ( PAR) > U.S. Serial No.: 12/117,355 ’ 2008年5月8曰申請,其所揭示涉及於本案者乃 全部併入本案。每一軸1368A、1368C各可設一適當之位置傳 感器,可將軸1368相對於彼此及/或相對於外殼1301H之旋轉 位置’傳訊予控制器310 (見圖3D ),任何適當之傳感器皆能 使用’包括光學及感應式傳感器,惟不限於此。 外軸1368C係固定連結至上臂1401,是以軸1368C與上 臂H01乃繞著軸z—起旋轉如一單元,第二軸1368(:可耦合 至末端作用器滑輪1437,俾驅動末端作用器14〇3、14〇4即如 以下將述及者。 於替代實施例各傳動軸之傳動裝置(即上臂與末端作用 器)可女置於該臂之一對應關卽,例如,轉動上臂之傳動穿置 可女置於肩關節1310,而轉動末端作用器之傳動裝置則^安 置於腕關節1312 ;於替代實施例,運送裴置可備具任何適當 18 200915464 傳動系統(同軸或非同轴)其擁有多於或少於二傳動軸,在其 他實施例,該傳動系統可包括供臂總成垂直移動之一 z軸傳 動裝置。The leak of the internal atmosphere of 200TC. It should be noted that in the present embodiment, the slitting valve 700 is disposed along the inner wall of the transfer compartment 200TC. However, in an alternative embodiment, the slitting valve 700 can be disposed in a manner similar to the above, for example, in a connector, that is, For example, the connector 230, for example, the connector may have an opening substantially similar to the opening 700S through which the slotted valve may be attached or detached, as shown in Figure 7, the transport compartment 200TC 13 200915464 may also include a suitable transfer device Or a robot 600 for transporting the substrate S through the transfer compartment 100 as will be described below. Referring to FIG. 9, the cross section of the loading gate portion 200LL of the substrate processing system 200 of FIG. 2A is shown in more detail. The inner wall of the loading gate 200LL (ie, the top, bottom, and sides) may also follow the path of the substrate moving within the loading gate portion 200LL. The profiling is to reduce the internal volume of the loading gate portion 200LL, so that the cycle time for vacuuming or exhausting the pump is reduced or minimized. In this embodiment and for example only, the volume of the loading gate portion 200LL is used. V2 is about 3 liters, and the gas flow rate through the load gate portion 200LL is about 90 standard liters per minute (slpm); in alternative embodiments, the volume of the load gate can be more or less than 3 liters, and can have any suitable Gas flow rate. The gas in the load gate portion 200LL may be any suitable gas including inert gas, regulated air or atmosphere, and is not limited to this; reducing the volume and increasing the flow rate can allow rapid pumping/discharging cycles and higher substrate throughput, loading the gate The 200LL can be configured to minimize particulate contamination by isolating the expansion of the gas within the load lock portion 200LL. Referring to FIG. 9A-9D, another embodiment of the transport module 900 is shown. In the embodiment, the transport module 900 includes a buffer portion 900B and a transport cabin 900TC formed in, for example, a housing 900H. In this embodiment, the outer casing 900H can be a single or a unitary structure. In the alternative embodiment, the outer casing 900H can be an assembly; the buffer portion 900B and a transfer portion 900TC can be separated by a wall 970, and the wall 970 can include a The opening or slit 970S is provided between the buffer portion 900B and the transfer chamber 900TC, and the combination of the buffer portion 900B and the transfer chamber portion 900TC can function as a load gate between the EFEM and the processing module; in this embodiment, The buffer portion 900B and the transport compartment 900TC may not be isolated from each other, but in the embodiment thereof, in the case of 14 200915464, a slotted valve may be detachably disposed between the buffer portion 900B and the transfer cabin portion 900TC, so that the two portions are mutually relocated. The isolation and the buffering portion 900B are converted into, for example, a loading brake as will be described below. The buffer portion 900B can include a substrate buffering device 920 for buffering at least one substrate. The buffer portion 900B can also have a calibration function to calibrate the reference of a substrate for processing. In other embodiments, the buffer portion can be a thermal substrate. Cooling or any other support method is provided for substrate processing; in yet other embodiments, the buffer portion can be configured to perform any suitable processing operations on the substrate. The transfer compartment 900TC can include a transfer robot 930 for transporting the substrate S from the buffer 920 through the slit 970S and through the valve 940V to a processing module, and vice versa, the valve 940V can be used for connection transport The module 900 is a part of the connector 940 of one of the processing modules; in other embodiments, the valve 940V can be inserted or connected to the connector 940 via an opening in substantially the same manner as described above with reference to FIG. 7; In other embodiments, valve 940V can be inserted through, for example, one of the openings in the bottom of transfer tank 900TC, as described above, to abut, for example, an inner wall of transfer tank 900TC; in an alternative embodiment, valve 940V can be placed in transport tank 900TC And/or any suitable portion of the connector 940, another valve 960 can be disposed on the other opposite side of the transport module 900 to permit attachment of the buffer portion 900B to, for example, an EFEM or any other suitable component of the processing device. In this embodiment, the valve 960 is shown as an air valve, but in other embodiments, the valve 960 can be any suitable valve, such as a slotted valve; the transport module 900 can also have connectors 950, 95 to connect For example, vacuum and gas lines to the transfer tank 900TC and/or the buffer portion 900B. Referring to Figures 10A-10D, another configuration example of the transfer module 900 is shown. 15 200915464 In this configuration, the transfer module housing 900H can be provided with an opening 1000S through, for example, the bottom of the housing to open a slit valve 1适当 is properly coupled to the outer casing 900H via the opening 1000S as previously described with reference to Figure 7; the slitting valve 1000 is available to isolate the buffer from the transfer tank 900TC and convert the buffer to a loader Gate 900LL 'As indicated above, the opening i〇〇〇s and the valve 1〇〇〇 may be configured to be inserted through the outer casing 900H from the bottom of the casing or from the interior of the transhipment compartment; in alternative embodiments, the same valve and opening may also be placed The interior of the loading gate 900LL of the transfer module 900. (Figure HA-12B illustrates that the transfer module 900 is equipped with a transfer robot 930 that extends at various locations, for example, Figures 11A and 11B show that the transfer robot 930 extends through the valve 940V' and Figures 12A and 12B show the transfer machine The hand 930 extends into the load lock 900LL (or buffer) of the transfer module 900. The operation of the transfer robot 930 will be described in more detail below. Referring to Figures 13A-B, 14A-C and 15A-B, an implementation is now An example of a substrate transport device 1400 is illustrated. The small, fast-switching two-way transport device of the following example may include a two-axis transmission having unequal arms and two differentially coupled end effectors; The transport device i4 can have 1 or more suitable configurations for more or less than two end effectors, and/or more or less than two drive shafts. As can be seen in Figure 13A, the transport device 1400 displays The transport device 1400 can be disposed in any suitable component of the processing system in an alternative embodiment, such as in the shipping compartment 1 of the processing system. As can be seen in Figure 13B, the transport device 1400 can be Have one or two axes The coaxial transmission system 13 01 ' is substantially similar to, for example, the application of US Patent No. 11/179,762, filed on the co-pending application, the name, UNEQUAL LENGTH SCARA ARM, 2005 7 16 200915464月11曰 application, the disclosure of which is incorporated herein by reference in its entirety, for example, the transmission system 1301 may include a cover coaxial coaxial core assembly and two motors 1362 and 1366 one housing 1301H; In an alternate embodiment, the transmission system 1301 can be provided with more or less than two motors; the transmission shaft assembly 1360 has two transmission shafts 1368A and 1368C, and in alternative embodiments more or less than two transmissions can be provided. The first motor 1362 includes a stator 1378A and a rotor 1380A coupled to the inner shaft 1368A. The second motor 1366 includes a stator 1378C and a rotor. The 1380C is coupled to the outer shaft 1368A. The two stators 1378A and 1378C are fixedly mounted. The outer casing 1301H is at a different vertical height or position along the outer casing; in the present embodiment, for illustrative purposes only, the first stator 1378A is a lower stator, and the second stator 1378C is an upper stator, and each stator usually includes a The magnetic coil, the two transmission shafts 1368A and 1368C are arranged coaxially; the two rotors 1380A, 1380C preferably comprise permanent magnets, but may comprise a magnetic induction rotor without permanent magnets; the sleeve 1363 may be disposed on the rotor 1380 and the stator 1378 Between, to allow the transport device 1400 to be used in a vacuum environment, wherein the drive shaft assembly 1360 is placed within the vacuum environment, and the stator 1378 is placed outside of the vacuum environment, however, if the transport device 1400 is only intended to be used In an atmospheric environment, it is not necessary to provide a sleeve 1363. In other embodiments, the robot can be configured to isolate the arms, rotors, and stator interior from the vacuum environment; in alternative embodiments, suitable seals can be provided for the rotor and stator to be isolated from the vacuum environment, It is not increased by the transmission mechanism of the transfer robot. The first shaft 1368A is an inner shaft extending from the lower stator 1378A. The inner shaft has a first rotor 1380A and a lower stator 1378A arranged in a row, the outer shaft 1368C extends upward from the upper stator 1378C, and the outer shaft has a second rotor. 1380C and 17 200915464 The upper stator 1378C is arranged in a row, the different bearings are disposed around the shaft 1368 and the outer casing 1301H, and the shafts are allowed to rotate independently with respect to each other and the outer casing 1301H; in an alternative embodiment, the two motors 1362, 1366 can be configured The automatic bearing motor is such that the rotors 1380A, 1380C are substantially free of contact, but can be supported inside the housing by forces such as their corresponding stators 1378A, 1378C applied to the rotors 1380A, 1380C; in other alternative embodiments, the motor can be coupled to The bulkhead of the transfer compartment 100 is as described, for example, in the U.S. patent application entitled "Substrate Processing Apparatus with Motor Coupled to the Wall", Attorney Docket No. 390P013018-US (-#1), US Serial No.: 60 /950,33 Bu application on July 17, 2007; and US patent application name "substrate transport device", Attorney Docket N〇.390P010885-US (PAR) > US Serial No.: 1 2/117,355 ′ May 8th, 2008 application, the disclosures involved in this case were all incorporated into the case. Each of the axes 1368A, 1368C can be provided with a suitable position sensor that can transmit the rotational position of the shaft 1368 relative to each other and/or relative to the housing 1301H to the controller 310 (see FIG. 3D), and any suitable sensor can be used. 'Including optical and inductive sensors, but not limited to this. The outer shaft 1368C is fixedly coupled to the upper arm 1401, such that the shaft 1368C and the upper arm H01 rotate about the shaft z as a unit, the second shaft 1368 (: can be coupled to the end effector pulley 1437, and the end effector 14 〇 3, 14〇4, as will be described below. In the alternative embodiment, the transmission shafts of the transmission shafts (ie, the upper arm and the end effector) can be placed on one of the arms, for example, the transmission of the upper arm is rotated. The female device is placed on the shoulder joint 1310, and the actuator for rotating the end effector is disposed on the wrist joint 1312; in an alternative embodiment, the transport device can be provided with any suitable 18 200915464 transmission system (coaxial or non-coaxial) Having more or less than two drive shafts, in other embodiments, the drive train can include one of the z-axis transmissions for vertical movement of the arm assembly.

如最清晰可見於圖14A-C者’運送裝置1400包括一基座 1405、一上臂1401、一前臂14〇2及二末端作用器1403、1404。 於本實施例中’上臂1401與前臂1402可具不等長如美國專利 第11/179,762號申請案’前已就涉及部份併入本暗,例如,前 臂1402可較上臂1401為長,是以臂總成對伸展之容積比可最 小化’上臂1401與前臂14〇2之不等長得使臂總成在收縮位置 時之擺動直徑,仍維持與傳統具有等長上臂與前臂之臂總成擺 動直徑相同,然而’上臂1401與前臂H02之不等長可容許, 例如,較具有相同擺動直徑之等長連桿臂總成為大之伸展或延 伸度,因此對臂總成1400之容積比可增加其伸展度。上臂14〇1 乃可方疋轉地麵合至基座1405於一肩關節1410,前臂1402乃 可旋轉地耦合至上臂1401於一肘關節1411,末端作用器 1403 4404則可旋轉地耦合至前臂14〇2於一腕關節^^:雖 圖14A-C所示實施例備有二末端作用器,惟須知該臂總成可 具備多於或少於二末端作用器。 上臂可受一第一傳動軸1436 (其可基本上類同於傳動筹 統1301之軸1368C)於肩關節141〇處可旋轉地傳動,一第二 傳動軸1435 (其可基本上類同於傳動系統13〇1之輛’丨3一68八7 可耦合並可旋轉地傳動一第一末端作用器滑輪Η” 一 於第二軸1435上,並藉1當二= 支撑,是以當第二軸1435及/或上臂旋轉時,肩河 19 200915464 輪1430並不轉動;例如,肩滑輪143〇可藉由連結件1431固 定連結至基座1405,以防止肩滑輪1430之轉動(即前臂從動 於上jf )。於替代實施例,運送裝置之theta運動(即是運送臂 一體旋轉)所須之處,肩滑輪1430可_合至傳動部之另一馬 達,以防止前臂1402與上臂1401間於運送裝置一體旋轉時之 相對動作,上臂1401可適當構型使連結件1431得穿過上臂 1401與基座1405連接,於替代實施例,該肩滑輪143〇可依 任何適當形態不轉動而固定,包括至一第三非旋轉軸,惟不限 於此。 第一末端作用器滑輪1440係安置於肘關節丨々I!,該第二 末端作用器滑輪1440可藉例如適當之軸承1443自由轉動且適 當支撐於財軸心1411’周圍;第一及第二末端作用器滑輪可藉 如皮帶1439相互耦合,雖圖中僅顯示一皮帶,惟應知任何適 當數目之皮帶皆可使用,須注意在替代實施例中,皮帶1439 可替代以任何適當連結構件包括鏈條、傳送帶及連稈,然不在 此限;一肘滑輪1441亦可繞肘軸心1411,自由轉動,且藉例如 軸承適當支撐,該肘滑輪1441可固定耦合至前臂14〇2,是以 肘滑輪1441傳動前臂1402繞肘關節1411之旋轉,該肘滑輪 1441可依基本上類似前述相關於末端作用器滑輪1437、144〇 之开> 態,例如,藉皮帶1438輕合至肩滑輪1430。於本實施例 該肩與肘滑輪1430、1441之直徑可具一約2比i之比率,是 以於運送裝置伸展及收縮之際,仍可保持一預定之臂軌跡,於 替代實施例’該肩與肘滑輪1430、1441則可具任何適當之直 徑比。 20 200915464 第—及第四末端作用写、、典认 剛内於财關節1411處輪1秘、M46可安置在前臂 滑輪1440,於此膏祐如 了傳動地耦合至第二末端作用器 1446圖示為分離之%該第三及第四末端作用器滑輪1445、 如二滑槽二^ 替代實施例則可僅為-具有例 型。一第五及第六末端作用例該滑輪可具任何適當之構 内於腕關節⑷2處,且二如 可旋轉地支撑於腕軸心1412,,、/之軸承145G、 係依基本上^上述之形態,藉作用H滑輪⑽ 地耗合至第五末端作用器滑輪142^如—皮帶助’可傳動 傳動地輕合至第六末端作用 “ 1423’可 可為差動連結,以致末端作用器得:形:== 如下將述及者,例如,皮帶1422可如圖示扭成、丫字形之構 型’是以滑輪1420轉詩與其傳動滑輪1445相反之方向。 1綱第3末,作用盗1404可固疋轉合至第五末端作用器滑輪 1420,疋以g滑輪}轉動時,該末端作用器剛即隨之轉 動1二末端作用器刚可固定輕合至第六末端作用器滑輪 而’是以當滑輪剛轉動時,該末端作用胃刚亦隨之轉 動。於替代實施例,運送裝置1400可具多於或少於二末端作 用器’末端作用器則可具任何適當構型,包括圖示之末端作用 器1403、H04、14〇31丨404,之構型實例,惟不限於此。 須知於此實施例中,滑輪係安置於上臂14〇1及前臂14〇2 内部’上f _及前臂可予密封及/或藉例如一真空果 200915464 予以排氣,以防止來自傳動系統之微粒污染運送裝置1400載 運之基板S ;於替代實施例,滑輪可安置於任何適當位置,更 應注意圖14A-C及15A-B所示滑輪構型旨在舉例,且該滑輪 乃可具任何適當構型以供傳動機械臂及末端作用器。 茲參照圖16A及16B,就運送臂1603之伸展進入例如裝 載閘(或緩衝部)1601加以說明:運送裝置1600可基本上類 似上述相關於圖14A-C及15A-B所述之運送裝置,於此實例 該運送臂1603顯示於六列舉之位置A-F,其中A位提示為運 送臂1603之空檔或起動位置,而F位則提示為運送臂1603 伸展之位置者,為僅供舉例之用,須知該名詞 ''起動〃及申 展〃位置僅為便於描述運送臂之動作而用。於A位,末端作 用器1630、1640乃大致排列於上臂1610之上方,以便依箭頭 1605所示方向伸展或移動該末端作用器(末端作用器1630、 1640之一)至拾取或放置之位置(即基板在裝載閘/缓衝部内 之位置),上臂1610則依箭頭1605之方向旋轉;運送裝置傳 動系統1301 (圖13)如受一控制規則所指示而移動臂及末端 作用器,從動前臂1620係經由上臂1610及固定之肩滑輪1430 之旋轉而被驅動於箭頭1606之方向,末端作用器可受末端作 用器滑輪及軸心1435傳動,以致末端作用器1630乃旋轉於箭 頭1608之方向,且差動耦合之末端作用器1640則旋轉於箭頭 1607之方向。如圖16B (圖中顯示之轉運機械手具一末端作 用器係為求清晰之目的)中可最清楚看出,放置於末端作用器 上之基板,於轉運艙1602内時,可循一大致成拱形或U形通 路1670,而於轉運艙1602 (亦即在裝載閘或緩衝部1601内) 22 200915464 外時,則循一基本上成直線或線形通路1680。尤有進者,於 此實施例中,於轉運艙相對侧上之線形通路1680可大致相互 排成一列;雖可瞭解,於替代實施例該通路可彼此相對成一爽 角;如可瞭解者,臂1603之收縮,發生於基本上相反於臂16〇3 伸展之形態;再可瞭解者’三連桿運送機械臂之動作效率極 高,具有可供運送臂1603既有伸展度最小之圍覆空間或足跡 (載有基板之運送裝置)。於此狀態’運送裝置之延伸/伸展之 容積比可最大化,須知雙末端作用器可應用於基板之快速交 換,即當其一末端作用器拾取一已處理基板時,而另一末端作 用器則放置一未處理基板於裝載閘/緩衝部内。 兹參照圖17A及17B ’就運送臂1603伸展進入例如一處 理模組(圖17B中未示但以字母、、PNT表示)加以説明,於 替代實施例,臂1603則可依下述形態伸展進入任何適當位 置,運送裝置1600可基本上類同於上述對照圖14A_C& 15A_B 之運送裝置,於此實例該臂1603乃顯示於七個列舉之位置 G-M ’其中G位為運送臂1603之起動位置,而μ位則為運送 臂1603伸展之位置’再應注意該名詞、起動〃及、伸展〃位 置僅為便於描述運送臂之動作而用。在G位中,末端作用器 1630、1640乃大致排列於上臂161〇之上方,以便依箭頭17〇5 所示方向伸展或移動該末端作用器(末端作用器163〇、164〇 之一)至拾取或放置之位置(即基板在處理模組内之位置), 上臂1610則依箭頭1705之方向旋轉;運送裝置傳動系統13〇1 (圖13)如受一控制規則所指示而移動臂及末端作用器,從 動前臂1620係經由上臂1610及固定之肩滑輪143〇之旋轉而 23 200915464 被驅動於箭頭Π06之方向;末端作用器163〇、164〇可受末端 作用器滑輪及軸心1435傳動,以致末端作用器丨㈣乃^轉於 箭頭1708之方向,且差動耦合之末端作用器164〇則旋轉於箭 碩Π07之方向。如圖17Β中可最清楚看出,放置於末端作用 器上之基板,於轉運艙16〇2内時,可循一大致成拱形或 通路Π70,而於轉運艙1602 (亦即在處理模組ρΜ内)外時, 則循一基本上成直線或線形通路178〇。如可瞭解者,臂丨6〇3 自,例如,處理模組PM之收縮,乃發生於基本上相反於臂 1603伸展之形態;再者,就運送臂既有伸展度而言,三連桿 運运機械臂之動作效率極高,卻具有最小之圍覆空間或足跡 (載有基板之運送裝置),於此狀態,運送裝置之延伸/伸展之 容積比可最大化。 須知雙末端作用器1630、1640可應用於基板之快速交 換,即當其一末端作用器拾取一已處理基板時,而另一末端作 用器則放置一未處理基板於處理模組ΡΜ内,例如,仍參照圖 17Α,就基板快速交換至例如一處理模組(圊中未示但以字母 〃表示)加以說明。於此實例,二末端作用器163〇、164〇 可上負一基板而於G位啟動,其各基板大致與摺疊機械臂 1610、162G排成-列,-第-基板可藉末端作用器放置於處 理模組ΡΜ内如前述及圖17人之河位中,在基板處理後,末 端作用器1630可伸展返入處理模組內以拾取基板;末端作用 器乃依一大致相反於運送裝置伸展之狀態,自處理模組回縮, 直至經處理之基板乃至少局部進入轉運艙16〇2内;一旦經處 理之基板至少局部進入轉運艙1602内,若此,於經處理之基 24 200915464 板與轉運艙壁及/或開縫閥間將有足夠之間隙,末端作用器傳 動系統即沿順時鐘方向或逆時鐘方向轉動,致使末端作用器 1630、1640交換位置。於此實例,末端作用器1630於運送裝 置伸展之際乃關入處理模組中,然在二末端作用器交換位置 後’末端作用器1640變成關入處理模組中。須知為完成基板 之快速交換,運送臂1603可無須完全縮回至圖17A所示之g 位’僅供舉例之用,當臂1603係於Η位(或沿運送通路任何 適當位置)時,於基板與基板與轉運艙壁及/或開縫閥間可存 有足夠之間隙’末端作用器163〇、164〇於交換位置後,臂16〇3 乃依上述之形態伸展,且未處理基板即受末端作用器1640放 置於處理模組中;須知於臂16〇3伸展之際,當基板之一安置 於轉運艙1602外時’基板(在二末端作用器1630、1640上) 乃大致穫定位並沿處理模組之一中心線及/或EFEM之操作通 路移動;如可瞭解者,基板之快速交換往復於轉運模組之緩衝 部或裝載閘區,可依上述大致相同之狀態執行。 如圖18中可看出於一構型實例中,臂ι8〇〇可構型成使末 端作用器1803可配合於圍覆在如處理模組内之一間隙ι83〇, 如圖中所示,其可藉裝載閘或緩衝部之基板支架1810予以限 定,於此實施例,該處理模組ΡΜ可備具一彼此相隔約120度 之基板支架1820,於替代實施例該基板支架則彼此可具任何 適备之空間關係。末端作用器可具有圖丨8所示實例之構型, 疋以當上臂1801、前臂1802及末端作用器18〇3伸入處理模 組時,該末端作用器1803可配合於基板支架182〇間,同樣, 末鳊作用器1803亦可構型為當轉運臂伸入裝載閘/缓衝部時, 25 200915464 可配合於基板支架1810間;於替代實施例則末端作用器可具 任何適當之構型。轉運臂1800之雙向移動亦可見於圖18,例 如,臂1800能沿通路1850伸入處理模組PM於上臂旋轉軸心 1860之一側,並伸入裝載閘/緩衝部LL於上臂旋轉軸心1860 之另一側,而不轉動轉運臂1800如圍繞軸心1860之一單元(即 該臂能不轉動而伸展於二同軸相對之方向);於替代實施例該 臂1800構型為備有一額外之馬達,俾轉動該臂如一單元以容 許其伸展於其他方向而非沿著通路1850。 茲參照圖19A-D及20-23,此中所述之自動真空晶圓運送 系統,可應用如一空心砌塊,以配置備具任何適當數量處理模 組之成簇機具,即如實例所示,於此實施例中,成簇機具之寬 度可不受運送艙寬度影響,而僅依如EFEM或處理模組之寬度 而定;於實施例中顯示,該成簇機具可為一系列單一步驟處理 模組(即各處理模組僅執行一單一處理步驟),其係連接至輪 流連接一前端單元之各運送模組;在替代實施例,於運送艙(係 處於真空)與裝載閘之間可不使用前端單元;於替代實施例 中,每一處理模組倶可執行多種處理步驟。具有一至四單一步 驟處理模組間之成簇機具實例乃顯示於圖19A-D中,在替代 實施例,該成簇機具可具有更多於四個處理模組,如上所提 示,既有之EFEMs具有操作通路(其中之二於圖20-23係以 號碼CL1及CL2表示),其係藉一距離D隔開,例如300mm 直徑晶圓距離D為505mm ;從上述可知,於替代實施例該距 離D可為任何適當距離。須知文中所述實施例可按比例變更 大小及構型,俾與為任何適當尺寸基板構型之EFEMs及處理 26 200915464 fAs shown most clearly in Figures 14A-C, the transport device 1400 includes a base 1405, an upper arm 1401, a forearm 14〇2, and two end effectors 1403, 1404. In the present embodiment, the upper arm 1401 and the forearm 1402 may have unequal lengths as previously described in the 'Application No. 11/179,762'. For example, the forearm 1402 may be longer than the upper arm 1401. The volume ratio of the extension of the arm assembly can minimize the unequal length of the upper arm 1401 and the forearm 14〇2 so that the swing diameter of the arm assembly in the retracted position still maintains the total arm length of the upper arm and the forearm. The swinging diameter is the same, however, the unequal length of the upper arm 1401 and the forearm H02 can be tolerated. For example, the equal length link arms having the same swing diameter always have a large extension or extension, and thus the volume ratio of the arm assembly 1400. Can increase its stretch. The upper arm 14〇1 is rotatably coupled to the base 1405 at a shoulder joint 1410, the forearm 1402 is rotatably coupled to the upper arm 1401 at an elbow joint 1411, and the end effector 1403 4404 is rotatably coupled to the forearm 14〇2 in a wrist joint ^^: Although the embodiment shown in Figures 14A-C is provided with a two-end effector, it is to be understood that the arm assembly may have more or less than two end effectors. The upper arm can be rotatably driven at a shoulder joint 141〇 by a first drive shaft 1436 (which can be substantially similar to the shaft 1368C of the drive plan 1301), a second drive shaft 1435 (which can be substantially similar to The transmission system 13〇1's '丨3-68 8 7 can be coupled and rotatably drives a first end effector pulley Η" on the second shaft 1435, and borrows 1 when two = support, is the first When the two shafts 1435 and/or the upper arm rotate, the shoulder river 19 200915464 wheel 1430 does not rotate; for example, the shoulder pulley 143 固定 can be fixedly coupled to the base 1405 by the joint member 1431 to prevent the shoulder pulley 1430 from rotating (ie, the forearm from In the alternative embodiment, where the theta movement of the transport device (ie, the transport arm rotates integrally) is required, the shoulder pulley 1430 can be coupled to another motor of the transmission portion to prevent the forearm 1402 and the upper arm 1401. The upper arm 1401 can be appropriately configured such that the connecting member 1431 is coupled to the base 1405 through the upper arm 1401. In an alternative embodiment, the shoulder pulley 143 can be rotated in any suitable configuration. Fixed, including to a third non-rotating axis, The first end effector pulley 1440 is disposed on the elbow joint I!, and the second end effector pulley 1440 can be freely rotated by, for example, a suitable bearing 1443 and suitably supported around the axis 1411'; And the second end effector pulleys may be coupled to each other by a belt 1439. Although only one belt is shown, it should be understood that any suitable number of belts may be used. It should be noted that in alternative embodiments, the belt 1439 may be substituted for any suitable The connecting member includes a chain, a conveyor belt and a continuous stalk, but not limited thereto; an elbow pulley 1441 can also be freely rotated about the elbow axis 1411, and the elbow pulley 1441 can be fixedly coupled to the forearm 14〇2 by being suitably supported by, for example, a bearing. The elbow pulley 1441 is used to transmit the rotation of the forearm 1402 about the elbow joint 1411. The elbow pulley 1441 can be similarly coupled to the end effector pulleys 1437, 144 ,, for example, by the belt 1438. The pulley 1430. In the present embodiment, the shoulder and elbow pulleys 1430, 1441 can have a diameter of about 2 to i, so that the predetermined arm can be maintained while the transport device is extended and contracted. The trajectory, in the alternative embodiment, the shoulder and elbow pulleys 1430, 1441 can have any suitable diameter ratio. 20 200915464 The first and fourth ends of the writing, the code is just inside the wealth joint 1411 round 1 secret, M46 Can be placed on the forearm pulley 1440, where the squeegee is coupled to the second end effector 1446 as shown as a separate % of the third and fourth end effector pulleys 1445, such as two chutes. Then, it may be only - having a shape. A fifth and sixth end effect example, the pulley may have any suitable structure in the wrist joint (4) 2, and if the bearing is rotatably supported on the wrist shaft 1412, 145G, according to the above-mentioned form, by the H pulley (10) to the fifth end effector pulley 142 ^ such as - belt assist 'drive transmission ground to the sixth end effect " 1423 ' cocoa is poor The connection is such that the end effector has the following shape: == As will be described below, for example, the belt 1422 can be twisted into a U-shaped configuration as shown in the opposite direction of the pulley 1420. At the end of the third stage of the first class, the action thief 1404 can be fixedly transferred to the fifth end effector pulley 1420, and when the gyro is rotated by the g pulley, the end effector immediately rotates with the second end effector. To the sixth end of the actuator pulley and 'as soon as the pulley is rotated, the end effect of the stomach just rotates. In alternative embodiments, the transport device 1400 can have more or less than two end effectors. The end effector can have any suitable configuration, including the illustrated end effectors 1403, H04, 14〇31丨404, Type examples, but are not limited to this. It should be noted that in this embodiment, the pulley system is disposed on the upper arm 14〇1 and the forearm 14〇2, and the forearm can be sealed and/or exhausted by, for example, a vacuum fruit 200915464 to prevent particles from the transmission system. The substrate S carried by the pollution transport device 1400; in an alternative embodiment, the pulley can be placed in any suitable position, and it should be noted that the pulley configuration shown in Figures 14A-C and 15A-B is intended to be exemplified, and the pulley can be any suitable The configuration is for the transmission robot arm and the end effector. Referring to Figures 16A and 16B, the extension of the transport arm 1603 into, for example, a load lock (or buffer) 1601 is illustrated: the transport device 1600 can be substantially similar to the transport device described above with respect to Figures 14A-C and 15A-B, In this example, the transport arm 1603 is displayed at the six listed position AF, wherein the A position is indicated as the neutral or starting position of the transport arm 1603, and the F position is indicated as the position where the transport arm 1603 is extended, for illustrative purposes only. It should be noted that the term 'starting 〃 and 申 〃 〃 position is only used to describe the action of the transport arm. In the A position, the end effectors 1630, 1640 are generally arranged above the upper arm 1610 to extend or move the end effector (one of the end effectors 1630, 1640) to the position of picking or placement in the direction indicated by arrow 1605 ( That is, the position of the substrate in the loading gate/buffer portion), the upper arm 1610 rotates in the direction of arrow 1605; the transport device transmission system 1301 (Fig. 13) moves the arm and the end effector as indicated by a control rule, and the driven forearm The 1620 is driven in the direction of arrow 1606 via rotation of the upper arm 1610 and the fixed shoulder pulley 1430, and the end effector is driven by the end effector pulley and the shaft 1435 such that the end effector 1630 is rotated in the direction of arrow 1608. The differentially coupled end effector 1640 then rotates in the direction of arrow 1607. As can be seen in Figure 16B (the transfer robot has an end effector for clarity purposes), the substrate placed on the end effector can be used in the transfer compartment 1602. An arched or U-shaped passageway 1670, while being outside the transfer compartment 1602 (i.e., within the load lock or buffer portion 1601) 22 200915464, follows a substantially straight or linear passageway 1680. In particular, in this embodiment, the linear passages 1680 on opposite sides of the transfer compartment may be substantially aligned with each other; although it will be appreciated that in alternative embodiments the passages may be angled relative to one another; as will be appreciated, The contraction of the arm 1603 occurs in a manner substantially opposite to the extension of the arm 16〇3; it is further understood that the 'three-link transporting robot arm is extremely efficient, and has a cover that allows the transport arm 1603 to have the smallest extension. Space or footprint (transport device carrying the substrate). In this state, the volume ratio of the extension/extension of the transport device can be maximized, and it is known that the double end effector can be applied to the rapid exchange of the substrate, that is, when one end effector picks up a processed substrate, and the other end effector An unprocessed substrate is placed in the load lock/buffer portion. Referring to Figures 17A and 17B', the transfer arm 1603 is extended into, for example, a processing module (not shown in Figure 17B but indicated by the letters, PNT). In an alternative embodiment, the arm 1603 can be extended into the following manner. In any suitable position, the transport device 1600 can be substantially similar to the transport device described above with reference to Figures 14A-C & 15A_B, which in this example is shown at seven listed positions GM 'where the G position is the starting position of the transport arm 1603, The μ position is the position where the transport arm 1603 is stretched. 'There should be attention to the noun, the starting 〃 and the extended 〃 position for convenience of describing the action of the transport arm. In the G position, the end effectors 1630, 1640 are generally arranged above the upper arm 161 , to extend or move the end effector (one of the end effectors 163 〇, 164 )) in the direction indicated by the arrow 17 〇 5 to The position at which the substrate is picked up or placed (ie, the position of the substrate within the processing module), the upper arm 1610 is rotated in the direction of arrow 1705; the transport device transmission system 13〇1 (Fig. 13) is moved as indicated by a control rule to move the arm and end Actuator, driven forearm 1620 is rotated by upper arm 1610 and fixed shoulder pulley 143 and 23 200915464 is driven in the direction of arrow Π 06; end effectors 163 〇, 164 〇 can be driven by end effector pulley and shaft 1435 So that the end effector 四 (4) is turned in the direction of the arrow 1708, and the differentially coupled end effector 164 旋转 is rotated in the direction of the arrow Π 07. As best seen in Figure 17A, the substrate placed on the end effector, in the transfer compartment 16〇2, can follow a generally arched or passageway 70, and in the transfer compartment 1602 (i.e., in the processing mode) When the group ρΜ is outside, it follows a substantially straight or linear path 178〇. As can be appreciated, the arm 丨 6〇3, for example, the contraction of the processing module PM occurs in a manner substantially opposite to the extension of the arm 1603; further, in terms of the extension of the delivery arm, the three-link The transport robot arm is extremely efficient, but has the smallest footprint or footprint (the carrier carrying the substrate), in which the volume ratio of the extension/extension of the transport device can be maximized. It should be noted that the double end effectors 1630, 1640 can be applied to the rapid exchange of substrates, that is, when one end effector picks up a processed substrate, and the other end effector places an unprocessed substrate in the processing module, for example Still referring to FIG. 17A, the substrate is quickly exchanged to, for example, a processing module (not shown in the figure but indicated by the letter 〃). In this example, the two end effectors 163〇, 164〇 can be driven by a substrate and activated at the G position, and the substrates are arranged in a row with the folding robot arms 1610 and 162G, and the first substrate can be placed by the end effector. In the processing module, as described above and in the river of FIG. 17, after the substrate is processed, the end effector 1630 can be extended back into the processing module to pick up the substrate; the end effector is extended substantially opposite to the transport device. State, retracted from the processing module until the processed substrate is at least partially into the transfer compartment 16〇2; once the treated substrate has at least partially entered into the transfer compartment 1602, if so, on the treated basis 24 200915464 There will be sufficient clearance between the transfer bulkhead and/or the slit valve, and the end effector drive system will rotate in a clockwise or counterclockwise direction, causing the end effectors 1630, 1640 to exchange positions. In this example, the end effector 1630 is placed in the processing module as the transport device is extended, but after the two end effector exchange positions, the end effector 1640 becomes closed into the processing module. It is to be noted that in order to complete the rapid exchange of the substrate, the transport arm 1603 can be completely retracted to the g position shown in FIG. 17A for example only, when the arm 1603 is tied to the clamp (or along any suitable position along the transport path), There may be sufficient clearance between the substrate and the substrate and the transfer bulkhead and/or the slit valve. After the end effectors 163, 164 are in the exchange position, the arms 16〇3 are stretched in the above-mentioned manner, and the unprocessed substrate is subjected to The end effector 1640 is placed in the processing module; it is understood that when the arm 16〇3 is extended, when one of the substrates is placed outside the transfer compartment 1602, the 'substrate (on the two end effectors 1630, 1640) is substantially positioned and Moving along a centerline of the processing module and/or an EFEM operating path; as can be appreciated, the rapid exchange of the substrate to and from the buffer portion or the loading gate of the transport module can be performed in substantially the same manner as described above. As can be seen in Figure 18, in one configuration example, the arm ι8 can be configured such that the end effector 1803 can be fitted over a gap ι 83 如 in the processing module, as shown in the figure. The substrate holder 1810 can be defined by the substrate holder 1810 of the loading gate or the buffer portion. In this embodiment, the processing module can be provided with a substrate holder 1820 separated from each other by about 120 degrees. In an alternative embodiment, the substrate holder can be provided with each other. Any suitable spatial relationship. The end effector can have the configuration shown in FIG. 8 so that when the upper arm 1801, the forearm 1802, and the end effector 18〇3 protrude into the processing module, the end effector 1803 can be fitted to the substrate holder 182. Similarly, the end effector 1803 can also be configured such that when the transfer arm extends into the loading gate/buffer portion, 25 200915464 can be fitted between the substrate holders 1810; in alternative embodiments, the end effector can have any suitable configuration. type. The bidirectional movement of the transfer arm 1800 can also be seen in FIG. 18. For example, the arm 1800 can extend along the passage 1850 into the processing module PM on one side of the upper arm rotation axis 1860 and into the loading gate/buffer portion LL on the upper arm rotation axis. On the other side of 1860, the transfer arm 1800 is not rotated, such as around a unit of the axis 1860 (i.e., the arm can be rotated without rotation to extend in a direction opposite to the coaxial); in an alternative embodiment, the arm 1800 is configured to have an additional The motor, which rotates the arm as a unit to allow it to extend in other directions rather than along the passage 1850. Referring to Figures 19A-D and 20-23, the automatic vacuum wafer transport system described herein can be applied as a hollow block to configure a cluster of implements having any suitable number of processing modules, as shown in the example. In this embodiment, the width of the clustering implement is not affected by the width of the transport compartment, but only according to the width of the EFEM or the processing module; as shown in the embodiment, the clustering implement can be processed in a series of single steps. The modules (ie, each processing module performs only a single processing step) are connected to the respective transport modules that are connected in turn to a front end unit; in an alternative embodiment, between the transport compartment (which is in a vacuum) and the load lock A front end unit is used; in an alternate embodiment, each processing module can perform a variety of processing steps. An example of a clustering tool having one to four single-step processing modules is shown in Figures 19A-D. In an alternative embodiment, the clustering tool can have more than four processing modules, as suggested above, EFEMs have operational paths (two of which are represented by numbers CL1 and CL2 in Figures 20-23), which are separated by a distance D, such as a 300 mm diameter wafer distance D of 505 mm; as can be seen from the above, in an alternative embodiment The distance D can be any suitable distance. It is to be understood that the embodiments described herein can be scaled in size and configuration, and EFEMs and processes configured for any suitable size substrate 26 200915464 f

模組配合,例如,此中所述運送單元或模組之轉運機械手、轉 運艙、裝載閘或任何其他適當組件之尺寸,可依據處理之基板 大小而增減。由於三連桿不等長臂轉運機械手及此中揭示之轉 運松組’该轉運模組1〇1、2〇〇 (圖ία、2A)可具一寬度小於 EFEM操作通路間之間隔D,而容許轉運模組ι〇ι、2〇〇及處 理模組1940與EFEM操作通路中心線CL1、CL2排成一列。 如圖19A中可看出,EFEM 1910乃與一轉運模組1925構型, 其可包括轉運艙1935及裝載閘1920及任何關聯之開缝或空氣 閥;EFEM1910可包括構型以具有通路至二裝載埠19〇〇及裝 載閘1920之一轉運機械手1915 ;圖19B顯示EFEM 191〇,與 二轉運模組1925構型,該EFEM 1910,可包括構型以具有通路 至二裝載埠1900及至二裝載閘1920之一轉運機械手1915,; 圖19C顯示EFEM 1910"與三轉運模組1925構型,該EFEM 1910"可包括構型以具有通路至三裝載埠19〇〇及至三裝載閘 1920之轉運機械手1915’,圖19D顯示EFEM 1910'"與四轉 運模組1925構型,該EFEM 1910,”可包括以具有通路^四裝 載埠1900及至四裝載閘1920構型之—轉運機械手1915",。須 知轉運模組1925可與裝載閘構型如可見於,例如圖2〇及21 ; 或與緩衝部構型如可見於,例如圖22及23。須知於圖19八_19〇 中,連結至轉運模組1925之處理模組194G可具—寬度小於特 定750mm寬度之半。在其他實施例,該連結至轉運模电 重處理模組’即如圖19A_19D中所示,可為單—處理模組之 一部份而具有多重處理艙’例如,圖19B中之二處理模組 可為-單獨之雙處理模組(亦即二處理模組於—單—單元), 27 200915464 而圖19C中之三處理模組194〇可為一單獨之三處理模組(亦 即二處理模組於一單一單元),等等。 兹參照圖21及24a,就轉運系統一操作實例對照於操作 通路CL2加以說明,首先裝載閘9〇〇LL先予排氣,且於界面 160之一傳送埠啟開(圖24A,方塊24〇〇);前端運送裝置2120 即被啟動,而自裝載埠19〇〇之一傳送一基板s至裝載閘900LL 以供處理(圖24A,方塊24〇5 );傳送埠閥予以密封,且將裝 栽艙900LL泵成真空(圖24A,方塊241〇);當獲得處理之作 業真空時,開縫閥1000即啟開(圖24A,方塊2415);安置 於轉運艙900TC (係維持於真空)之運送機械手2130,經由 例如圖16B顯示之運送路徑,將基板移離裝載閘9〇〇LL,並運 送基板於轉運艙900TC内(圖24A,方塊2420);於運送艙與 裝載閘間之開縫閥1〇0〇予以關閉(圖24A,方塊2425 );須 知於此實例之機械手2130可具一末端作用器,然一備具雙末 端作用器之機械手之操作將說明如下:處理開缝閥940V啟開 (圖24A,方塊2430);此時處理艙194〇係淨空,且運送裝 置2130乃處於所謂之起動位置,運送裝置213〇轉變為穿過如 圖17B顯不之傳送路徑至完全伸展(或任何其他適當之伸展 祖離)’而於該處卸下基板S以供處理(圖24A,方塊2435); 運送^置2130收縮至其起動位置,且處理開縫閥94〇V乃關 閉並Φ封斯時基板s乃於處理艙194Q中接受處理循環(圖 24A塊244G);處理後,該基板S乃依基本上與上述相反 之形f,目到裝_ _LL,機械手即於該處拾取該已處理基 板,並將其置人麵合於1载埠_之運送£内(圖24A’ 28 200915464 方塊2445 ),糸統於此時p + ^ ^己元成一次循環,而一新循環則開始 處理一新基板。如可瞭艇去 哪者,裝載閘900LL可包括一緩衝部, 疋以* 6處理基板被置人|載閘時,—新基板可自裝載間被 運入轉運搶内以供處理。 於圖20所示並參昭顧 ,、、、圖24B之另一實施例,其機械手2130, 具有一末端作用器’該束端作用器可差動傳動以交替用於拾取 或卸下之時’第二末端作用器將被移動通過類同 16B & m指示之路徑,額外之末端作用器容許一 基板留存而無須緩衝架’因此得藉料絲如上述之快速交換 而增加系統之產能。 操作中’各末端作用器將首先夾持一待處理基板(圖 24B ’方塊2460);其中一末端作用器將其基板放置於處理艙 中以供處理(圖24B ’方塊2465 );於處理循環完成後,該已 處理基板即被空的末端作用器拾取,並撤離該處理艙(圖 24B,方塊2470);持有已處理基板之末端作用器即移入貯存 位置,而持有未處理基板之差動耦合末端作用器則向前移動, 將該未處理基板放置於處理艙中(圖24B,方塊2475),(此 即基板之快速交換);於處理中,如前述,裝載閘經排氣並啟 開以容許藉前端機械手將一新基板裝上’該新基板可藉運送裝 置2130,之差動傳動末端作用器’自裝載閘100交換以已處理 基板。 依此形態可建構一種簡化、高適應力之運送系統以施用於 個別處理艙,整體運送機構提供運送基板至處理艙之一機構, 並於處理期間供下一處理循環之再循環;此提供了裝設完整處 29 200915464 理模組於既有前端系統之能力,以容許處理模組之並排配置, 因此避免由於基板直徑增加而造成之笨重系統。 如可瞭解者,於基板處理中之主要循環事件為真空粟14〇 將裝載閘900LL泵成真空之作業,泵140同時操作以維持處 理模組1940及轉運艙900TC之真空,壓力傳感器可感應裝載 閘900LL内之壓力,並提供例如控制器310以裝載閘9〇〇LL 内壓力之指示’將裝載閘900LL泵成真空所需時間係取決於 艙900LL之容積,為減小裝載艙之容積、對基板運送或緩衡 基板沒有必要之艙内所有空間,倶藉塑形於餘壁之側、頂及底 壁予以填滿,以減少裝載閘900LL内容納之空氣。 茲參照圖24C,應知,例如控制器310可包括適當之計數 法以操控閥及轉運機械手如以上參照圖24A、24B所述,圖24c 所示即可用以完成上述各種功能之處理控制系統2480 —實 例,各處理模組皆設有適當感應元件以偵測處理進度及操作步 驟之時序。 現參照圖25A-F就基板轉運系統另一實施例加以說明, 處理系統基本上類似上述實施例之處埋系統,於此實施例轉運 艙2520可直接連結至一裝載閘模組2510 (無須透過一 EFEM 再連結至一裝載閘模組),於替代實施例該轉運艙可具任何適 當構型;於此實施例所示處理系統係構型為備有一單一處理模 組(無EFEM)之一單獨真空系統,於替代實施例處理系統可成 簇為一總成大致相同於圖20-21所示,備有運送艙直接連結至 裝載閘模組,而無須中介之EFEM ;轉運艙2520可構型以直 接自裝載閘模組2510運送基板至處理模組,僅以“一次觸碰” 30 200915464 或單一傳遞之基板轉運(亦即於裝載埠與處理模組間轉運之 際,基板僅受轉運裝置觸碰一次),例如,轉運機械手可自裝 載埠模組拾取基板,並將其直接轉運至處理模組,無須卸下基 板或轉運基板至別的運送裝置。圖25A-F所示之無EFEM真 空系統可容許應用包括真空計量學、基板之研究與發展,及一 次性之基板生產與系統之示範,自不限於此,無EFEM系統之 操作可提供一種低成本之真空系統。 於一實施例,真空系統包括一具有一基板匣升降/分度器 之裝載閘模組2510、裝載閘/運送艙2520及一單一處理模組 2530。轉運艙2520可包括一轉運機械手2540,其基本上類似 於參照圖13及14A-C之上述小型二軸線雙末端作用器機械 手;於此實例,由於裝載閘模組2510包括一升降/分度器,該 轉運機械手2540可不具備一 Z軸傳動裝置。於托架界面凸緣 之裝載埠與運送艙間可設一適當界面,俾容許基板匣自托架卸 下,並對應於轉運機械手編入索引,該裝載閘/運送艙可基本 上類似於轉運模組200之運送艙部200TC (與閥700 —起構 型)。 在其他實施例中,其裝載閘模組2510並未配備升降/分度 器者,其轉運機械手2540可配備一 Z軸傳動裝置;如可瞭解 者,於機械手2540包括一 Z軸傳動裝置者,其轉運艙2520 可基本上類似轉運艙100,如於圖8及9可清楚看出,其可擁 有之内部容積VI較轉運艙部200 TC所具内部容積V2為大, 轉運艙100之較大容積可容納轉運機械手2540之Z向移動; 然而,如可瞭解者,於轉運機械手2540包含Z軸傳動裝置者, 31 200915464 其馬達之轉子部如以上對照圖13B所述,可能暴露於真空氣 氛,此將增加抽光之循環時間;於替代實施例則可有適當密封 裝置將Z軸傳動裝置之轉子部自該真空環境隔離。圖25A-F 所示處理系統之操作可基本上類似於以上參照圖24B所述, 其基板乃自裝載埠2510之一基板托架被運送,並直接轉運至 處理模組2530。 圖25C_F顯示圖25A-B中具不同構塑裝載璋模組2510'、 2510”之運送系統之側視及等角圖。於此實施例,該裝載燁模 組251(Τ顯示為如一底部開口之裝載閘模組,且可包括一基板 升降/分度器,而裝載埠模組2510"則可不設升降/分度器;於 一實施例中,例如,於運送裝置2540不配備Ζ軸傳動裝置者, 其裝載埠模組2510’可包括一升降/分度器而裝載埠模組2510" 則無,該裝載埠模組2510’可構型為配合一頂或底部開口之基 板匣操作。一種可配合裝載埠模組2510’應用之基板匣實例可 見於共同申請之美國專利第11/556,584號、第11/594,365號 及第 11/787,981 號申請案,名稱 “REDUCED CAPACITY CARRIER TRANSPORT, LOAD PORT,BUFFER SYSTEM(減低 容積之托架運送裝置、裝載埠、缓衝系統),,,分別於2006年 11月3曰、2006年11月7曰及2007年4月18曰申請,其所 揭示涉及於本案者乃全部併入本案。於另一實施例,運送裝置 2540配備一 Z軸傳動裝置之情況,其裝載埠模組2510"可構型 為通過例如一開縫閥或空氣閥960 (見圖9A-D),而配合一側 面開口之基板托架操作;於裝載埠模組2510與轉運艙/裝載閘 2520間’可備有任何適當密封裝置,例如波紋管式密封,俾 32 200915464 於防止轉運艙/裝載閘2520内部氣氛洩漏時,仍容許機械手 2540及/或基板匣之垂直移動。 實例之運送艙200TC及100之構型及小巧尺寸,使裝載 埠模組、轉運艙2520及處理模組253〇得以沿同一中心線cL 配置,裝載閘/運送艙減少之容積,亦減少排氣及/或將該裝載 閘/轉運艙泵成真空所須時間,是以產能乃可增加。The modular fit, for example, the size of the transport robot, transfer bay, load lock or any other suitable component of the transport unit or module described herein may be increased or decreased depending on the size of the substrate being processed. Since the three-link unequal-arm transfer robot and the transfer pine group disclosed herein, the transport modules 1〇1, 2〇〇 (Fig. ία, 2A) may have a width smaller than the interval D between the EFEM operation paths, The transfer module ι〇ι, 2〇〇 and the processing module 1940 are arranged in a row with the EFEM operation path center lines CL1, CL2. As can be seen in Figure 19A, the EFEM 1910 is configured with a transfer module 1925, which can include a transfer compartment 1935 and a load lock 1920 and any associated slit or air valve; the EFEM 1910 can include a configuration to have access to two Loading 埠19〇〇 and loading brake 1920, one of the transfer robots 1915; FIG. 19B shows EFEM 191〇, and two transfer module 1925 configurations, the EFEM 1910, can include configurations to have access to two loads 1900 and two Loader 1920, one of the transfer robots 1915; FIG. 19C shows the EFEM 1910" and three transfer module 1925 configurations, which may include configurations to have access to three load ports 19 to and three load gates 1920 Transfer robot 1915', Figure 19D shows EFEM 1910'" and four transfer module 1925 configuration, the EFEM 1910," may include a transfer robot with a passage 四 1900 and a load 1920 configuration 1915 ", Note that the transfer module 1925 can be seen with the load lock configuration as shown, for example, in Figures 2 and 21; or with the buffer configuration as seen in, for example, Figures 22 and 23. See Figure 19 _19〇 The processing module 194G connected to the transfer module 1925 Having a width less than a half of a particular 750 mm width. In other embodiments, the connection to the transfer mode electrical reprocessing module 'is shown in Figures 19A-19D, which may be part of a single-processing module with multiple processing chambers For example, the processing module of FIG. 19B can be a separate dual processing module (ie, two processing modules in a single unit), 27 200915464 and the processing module 194 in FIG. 19C can be a The three processing modules (ie, the two processing modules are in a single unit), etc. Referring to Figures 21 and 24a, an operational example of the transport system is described with respect to the operating path CL2, first loading the gate 9〇〇LL The exhaust gas is first exhausted and opened at one of the interfaces 160 (Fig. 24A, block 24A); the front end transport device 2120 is activated, and one of the substrates s is transferred from the load port 19 to the load gate 900LL. For processing (Fig. 24A, block 24〇5); the transfer valve is sealed, and the loading chamber 900LL is pumped into a vacuum (Fig. 24A, block 241〇); when the processed work vacuum is obtained, the slit valve 1000 is Open (Fig. 24A, block 2415); placed in the transfer cabin 900TC The transport robot 2130 in vacuum, moves the substrate away from the load gate 9〇〇LL via a transport path such as that shown in FIG. 16B, and transports the substrate in the transport bay 900TC (FIG. 24A, block 2420); in the transport bay and loading The slit valve of the gate is closed 1 (Fig. 24A, block 2425); it should be noted that the robot 2130 of this example may have an end effector, and the operation of a robot equipped with a double end effector will be explained As follows: the slit valve 940V is opened (Fig. 24A, block 2430); at this time, the processing chamber 194 is emptied, and the transport device 2130 is in the so-called starting position, and the transport device 213 is transformed to pass through as shown in Fig. 17B. The transfer path to full extension (or any other suitable extension ancestor) 'where the substrate S is removed for processing (Fig. 24A, block 2435); the transport 2130 is retracted to its starting position, and the slit is processed When the valve 94〇V is closed and the Φ is sealed, the substrate s is subjected to a processing cycle in the processing chamber 194Q (block 244G in Fig. 24A); after processing, the substrate S is substantially in the opposite form to the above, f to the _ LL The robot picks up the processed substrate there, And put it in the plane for 1 埠 _ (Figure 24A' 28 200915464 box 2445), at this time p + ^ ^ self into a cycle, and a new cycle begins to process a new Substrate. If the boat is available, the loading gate 900LL may include a buffering portion, and when the substrate is placed at *6, the new substrate may be transported from the loading chamber into the transporter for processing. As shown in FIG. 20, another embodiment of FIG. 24B has a robot 2130 having an end effector. The end effector can be differentially driven for alternate use for picking up or unloading. When the 'second end effector will be moved through the path indicated by 16B & m, the extra end effector allows a substrate to be retained without the need for a buffer rack'. Therefore, the capacity of the system can be increased by the rapid exchange of the wire as described above. . In operation, each end effector will first hold a substrate to be processed (Fig. 24B 'block 2460); one of the end effectors places its substrate in the processing chamber for processing (Fig. 24B 'block 2465); Upon completion, the processed substrate is picked up by the empty end effector and evacuated from the processing chamber (Fig. 24B, block 2470); the end effector holding the processed substrate is moved into the storage position while holding the unprocessed substrate The differential coupling end effector moves forward, placing the unprocessed substrate in the process chamber (Fig. 24B, block 2475), (this is the rapid exchange of the substrate); during processing, as described above, the load brake is exhausted And open to allow the front end robot to mount a new substrate. 'The new substrate can be transported by the transport device 2130, and the differential drive end effector' is exchanged from the load gate 100 to the processed substrate. In this form, a simplified, highly adaptable transport system can be constructed for application to individual processing chambers, and the overall transport mechanism provides for transporting the substrate to one of the processing chambers for recycling of the next processing cycle during processing; this provides Installation of the complete 29 200915464 module in the ability of the existing front-end system to allow the side-by-side configuration of the processing module, thus avoiding the cumbersome system caused by the increase in the diameter of the substrate. As can be appreciated, the main cycling event in the substrate processing is the vacuum pumping operation of the load gate 900LL, and the pump 140 is operated simultaneously to maintain the vacuum of the processing module 1940 and the transfer chamber 900TC, and the pressure sensor can sense the loading. The pressure in the gate 900LL, and provides an indication such as the controller 310 to load the pressure in the gate 9〇〇LL. The time required to pump the load lock 900LL into a vacuum depends on the volume of the tank 900LL, in order to reduce the volume of the load compartment, All the space in the compartment that is not necessary for transporting or retarding the substrate is filled, and the side, the top and the bottom wall of the remaining wall are filled to reduce the air contained in the loading gate 900LL. Referring to Figure 24C, it should be understood that, for example, controller 310 can include appropriate counting methods to operate the valve and transfer robot as described above with reference to Figures 24A, 24B, and Figure 24c can be used to perform the various functions described above. 2480 - Example, each processing module is provided with appropriate sensing elements to detect the processing progress and the timing of the operating steps. Referring now to FIGS. 25A-F, another embodiment of the substrate transfer system is illustrated. The processing system is substantially similar to the buried system of the above embodiment. In this embodiment, the transfer compartment 2520 can be directly coupled to a loading gate module 2510 (without passing through An EFEM is reconnected to a load lock module. In an alternative embodiment, the transfer case can have any suitable configuration; the processing system shown in this embodiment is configured to have a single processing module (without EFEM) A separate vacuum system, in an alternative embodiment, the processing system can be clustered into an assembly substantially the same as shown in Figures 20-21, with a transport compartment directly coupled to the load gate module without intermediate EFEM; The model transports the substrate directly to the processing module by the self-loading gate module 2510, and only transfers the substrate by "one touch" 30 200915464 or a single transfer substrate (that is, when the transfer between the loading cassette and the processing module is carried out, the substrate is only transported. The device is touched once. For example, the transfer robot can pick up the substrate from the loading cassette and transfer it directly to the processing module without removing the substrate or transporting the substrate to another transport device. The EFEM-free vacuum system shown in Figures 25A-F allows applications including vacuum metrology, substrate research and development, and demonstration of disposable substrate production and systems. Since not limited to this, operation without EFEM systems can provide a low The vacuum system of cost. In one embodiment, the vacuum system includes a load lock module 2510 having a substrate lift/indexer, a load lock/transport module 2520, and a single processing module 2530. The transfer compartment 2520 can include a transfer robot 2540 that is substantially similar to the small two-axis dual end effector robot described above with reference to Figures 13 and 14A-C; in this example, the load lock module 2510 includes a lift/indexer The transfer robot 2540 may not have a Z-axis transmission. An appropriate interface may be provided between the loading cassette and the transport compartment of the bracket interface flange to allow the substrate to be detached from the bracket and indexed corresponding to the transport robot, which may be substantially similar to the transporter The shipping compartment 200TC of the module 200 (constructed with the valve 700). In other embodiments, the loading gate module 2510 is not equipped with a lifting/indexing device, and the transfer robot 2540 can be equipped with a Z-axis transmission; as is known, the robot 2540 includes a Z-axis transmission. The transfer compartment 2520 can be substantially similar to the transfer compartment 100. As can be clearly seen in Figures 8 and 9, the internal volume VI that can be possessed is larger than the internal volume V2 of the transfer compartment 200 TC, and the larger of the transfer compartment 100 The volume can accommodate the Z-direction movement of the transfer robot 2540; however, as will be appreciated, the transfer robot 2540 includes a Z-axis transmission, 31 200915464. The rotor portion of the motor, as described above with reference to Figure 13B, may be exposed to vacuum. The atmosphere, which will increase the cycle time of the pumping; in an alternative embodiment, a suitable sealing device can be used to isolate the rotor portion of the Z-axis transmission from the vacuum environment. The operation of the processing system shown in Figures 25A-F can be substantially similar to that described above with reference to Figure 24B, with the substrate being transported from one of the substrate carriers of the magazine 2510 and transported directly to the processing module 2530. Figure 25C-F shows a side view and an isometric view of the transport system of Figures 25A-B with different structural loading cassette modules 2510', 2510". In this embodiment, the loading cassette module 251 (shown as a bottom opening) Loading the gate module, and may include a substrate lifting/indexing device, and the loading and unloading module 2510" may not be provided with a lifting/indexing device; in an embodiment, for example, if the conveying device 2540 is not equipped with a stern-axis transmission device, The loading cassette module 2510' can include a lifting/indexing device and loading the cassette module 2550. If not, the loading cassette module 2510' can be configured to operate with a top or bottom open substrate cassette. Examples of the substrate for the application of the group of 2510' can be found in the co-pending applications of U.S. Patent Nos. 11/556,584, 11/594,365 and 11/787,981, entitled "REDUCED CAPACITY CARRIER TRANSPORT, LOAD PORT, BUFFER SYSTEM" The carrier transport device, loading buffer, buffer system), respectively, were applied on November 3, 2006, November 7, 2006, and April 18, 2007, respectively, and the disclosures involved in this case are all Into the case In another embodiment, the transport device 2540 is equipped with a Z-axis transmission, and the loading cassette module 2510" can be configured to cooperate with, for example, a slit valve or air valve 960 (see FIGS. 9A-D). Side-opening substrate carrier operation; between the loading cassette module 2510 and the transfer compartment/loading gate 2520' can be equipped with any suitable sealing device, such as bellows seal, 俾32 200915464 to prevent the internal atmosphere of the transfer compartment/loading gate 2520 When leaking, the vertical movement of the robot 2540 and/or the substrate is still allowed. The configuration and compact size of the transport tanks 200TC and 100 of the example enable the loading cassette module, the transfer compartment 2520 and the processing module 253 to be along the same center. The line cL configuration, the reduced capacity of the loading gate/transportation compartment, also reduces the time required for the exhaust and/or pumping of the loading gate/transportation chamber to a vacuum, which is an increase in capacity.

茲參照圖26,所示為另一處理系統構型中可應用之實施 例,於一實施例,轉運艙2600TC可基本上類似於,例如轉運 艙100或900TC,且可應用為於一線性分佈處理機具26〇()中 之一模組轉運艙,即如陳述於美國專利第11/422,511號申請 案’名稱為線性分佈半導體工件處理機具(LineaRRLY DISTRIBUTED SEMICONDUCTOR WORKPIECE PROCESSING TOOL),,,2007年4月18日申請,其所揭示涉 及於本案者乃全部併入本案《該轉運擒2600TC可包括轉運機 械手1400',其可基本上類似於參照圖14A_C之前述轉運機械 手1400 ’雖該轉運機械手14〇〇,顯示為具有—末端作用器,然 應瞭解轉運機械手可具任何適當數量之末端作用器,其可以是 或非差動傳動’運送搶2600TC可依任何適當形態連接或搞合 至其他轉運艙如轉運艙2601TC、或任何其他基板處理裝置 2630-2632,該其他基板處理裝置2630-2632可包括處理模組、 裝載埠、校準器、裝載閘、冷卻器、加熱器、緩衝裝置及其他 轉運機械手;轉運機械手1400’可將基板S自轉運機械手轉運 至轉運機械手,或於任何適當處理裝置2630-263之間,各轉 運艙2600TC、2601TC沿其四側之各側可備有開口 33 200915464 2610-2613,俾與其他基板處理裝置溝通;須知雖然轉運艙 2600TC、2601TC顯示為具有四側,於替代實施例,該轉運艙 可具任何適當數量之側壁,其可對其他基板處理裝置開啟或不 開啟;在一實施例中,一或更多開口 2610-2613可包括一閥, 俾將轉運艙2600TC、2601TC自連接至該轉運艙之一處理裝置 予以隔離。 轉運艙2600TC、2601TC之小型尺寸及加大容量以達到轉 ( ' 運機械手1400'之比率,可減小線性分佈處理機具之足跡,轉 運艙容積之最小化亦可減少轉運艙任何抽光循環之循環時 間,如可瞭解者,裝載閘如裝載閘110及900LL亦可依基本 上類似於前對照轉運艙2600TC、2601TC所述形態,應用於線 - 性分佈處理機具。 茲參照圖27A-27C所示基板轉運系統之另一實施例,於 此實施例中,該轉運系統包括一大氣轉運模組2710、裝載埠 模組1900及一處理模組1940,雖圖中顯示有二裝載埠模組 1900及一處理模組1940,惟應知任何適當數量之裝載埠模組 ϋ 及處理模組皆可與轉運模組2710耦合;於此實例,該轉運模 組2710可構型如一大氣模組,基本上類似於一裝備前端模組 . (類似於圖1之EFEM 150),該轉運模組2710包括一轉運機 械手2700,基本上類似於前述之機械手1400,其中該機械手 係以操作於例如一大氣環境而構型者;轉運機械手2710可裝 設於一路徑2720上,是以機械手2700可轉換於箭頭2730之 方向,以容許拾取/放置基板於耦合至轉運模組2710之不同模 組中;僅供舉例之用,一路徑裝設轉運裝置之適當實例可見於 34 200915464 美國專利第11/159,726號申請案,名稱為“雙臂基板運送裝置 (Dual Arm Substrate Transport Apparatus ) ,於 2002 年 5 月29曰申請。 應可瞭解轉運機械手2700之動作曲線可基本上類似於以 上參照圖16B所述’例如,基板S係安置於末端 ;以 上,當在轉運模組2710内時,順著一大致成拱形 2750 基本上類似於通路167〇 ;及當在轉運模組271〇 形通路 載問/緩衝部、處理模組或裝麟),則為大 即在農 路基本上類似於通路觸;於—實施例該^線性通 性通路可沿著-基準中心、線scu、scu 直線或線 271〇之操作通路》 』為轉運模級 於此實例,該轉運模組271〇乃顯示直 組1900及處理模組194〇,俾供僅以次。至袭戟埠模 於裝載琿觸與處理模組194〇間如前述 而將基板轉運 轉運模組271〇則可直接麵合至一 ’實施例,該 之組合體。 裝栽埠與處理模組 應瞭解者,文中所述之實施例可個別或以 :瞭解以上所述僅屬實施例之解說,各種替代::合使用,亦 離本案實施例下,皆可為熟知此技藝者所及^,在未脫 貫施例意欲包含屬於本輯求範 * 本案 35 200915464 【圖式簡單說明】 圖1A及1B:係依據一實施例之基板處理系統一實例之說 明圖。 圖1C :係圖1A及1B所示實施例之基板處理系統一實例 之局部說明圖 圖2A及2B:係依據一實施例之基板處理系統另一實例之 說明圖。 圖3A-3D、4A、4B、5A及5B :係依據一實施例之基板 處理系統之局部說明圖。 圖6及7 :係依據各種實施例之基板處理系統之說明圖。 圖8及9:係圖6及7所示基板處理系統一實例之剖面說 明圖。 圖9A-D:係依據一實施例之轉運艙模組一實例之說明圖。 圖10A-D:係依據一實施例之轉運艙模組一實例之說明 圖。 圖11A及11B:係依據一實施例之轉運艙模組一實例之說 明圖。 圖12A及12B :係圖11A及11B所示實施例之轉運艙模 組備有一基板運送裝置之說明圖。 圖13A:係依據一實施例之基板處理系統之平面說明圖。 圖13B :係依據一實施例之傳動裝置一實例之說明圖。 圖14A-14C:係依據一實施例之基板運送裝置之平面說明 圖。 圖15A及15B:係依據一實施例之基板運送裝置之另一平 36 200915464 面說明圖。 圖16A-B及17A-B :係依據一實施例之基板運送裝置運 送路徑之說明圖。 圖18:係依據一實施例構型之基板運送裝置一實例之說 明圖。 圖19A-D、20、21、22及23 :係依據各種實施例之基板 處理系統之平面說明圖。 圖24A-B :係依據一實施例之流程說明圖。 圖24C :係依據一實施例之控制面板說明圖。 圖25A-F:係依據一實施例構型之基板處理系統另一實例 之說明圖。 圖26 :係依據一實施例構型之基板處理系統另一實例之 說明圖。 圖27A-C:係依據一實施例構型之基板處理系統再一實例 之說明圖。 【主要元件符號說明】 100 轉運艙 101 轉運模組 100C 轉運艙内腔 110 裝載閘 110' ' 110” 裝載閘 111 裝載閘罩蓋 120 處理艙 130 連接器(開縫閥) 140 真空泵 150 前端模組設備(EFEM) 151 裝載埠 160 閥 200 單一模組 200TC 轉運艙部 300 連接器 310 控制器 37 200915464 460 開縫閥 600 轉運機械手 700S 開口 900B 缓衝部 900TC 轉運艙部 970 轉運艙壁 930 轉運機械手 920 緩衝器 940 連接器 1400 基板運送裝置 1301 傳動系統 1368A 、1368C 傳動軸 1378A 、1378C 定子 1380A 、1380C 轉子 1363 套筒 1360 傳動軸總成 1437 末端作用器滑輪1310 肩關節 1312 腕關節 1405 基座 1401 上臂 1402 前臂 1411 肘關節 1436 第一傳動軸 1420 第五末端作用器滑輪 1421 第六末端作用器滑輪 1435 第二傳動軸 1437 第一末端作用器滑輪 143 肩滑輪 1431 軸承 1431 連結件 1440 第二末端作用 器滑輪 1411' 肘軸心 1439、 1438皮帶 1445 第三末端作用 器滑輪 1446 第四末端作用 器滑輪 1412, 腕軸心 1620 從動前臂 38 200915464 1301 運送裝置傳動系統 1670、1770 拱形或U形通路 1680、1780 線形通路 1830 間隙 1810、1820 基板支架 1802 前臂 1940 處理模組 940V 開縫閥 1850 通路 CL1、CL2 操作通路中心線 2480 處理控制系統Referring now to Figure 26, there is shown an embodiment of another processing system configuration. In one embodiment, the transfer compartment 2600TC can be substantially similar to, for example, a transfer compartment 100 or 900 TC, and can be applied as a linear distribution. One of the processing units of the processing tool 26〇(), as described in U.S. Patent No. 11/422,511, the disclosure of which is incorporated herein by reference. Application on the 18th of the month, the disclosure of which is hereby incorporated by reference in its entirety herein in its entirety in the present application, that the transfer 擒 2600TC may include a transfer robot 1400', which may be substantially similar to the aforementioned transfer robot 1400' with reference to Figures 14A-C. Hand 14〇〇, shown as having an end effector, it should be understood that the transfer robot can have any suitable number of end effectors, which can be either a non-differential drive 'transport 2600TC can be connected or fitted in any suitable form To other transfer compartments such as transfer compartment 2601TC, or any other substrate processing apparatus 2630-2632, the other substrate processing apparatus 2630-2632 may include a processing module,埠, calibrator, load lock, cooler, heater, buffer, and other transfer robots; transfer robot 1400' can transport substrate S from the transfer robot to the transfer robot, or any suitable processing device 2630-263 Between each of the four sides of each of the four compartments, there may be openings 33 200915464 2610-2613, which communicate with other substrate processing devices. Note that although the transfer compartments 2600TC and 2601TC are shown as having four sides, the replacement is implemented. For example, the transfer compartment can have any suitable number of side walls that can be opened or not opened to other substrate processing devices; in one embodiment, one or more of the openings 2610-2613 can include a valve that will transport the transfer compartment 2600TC, The 2601TC is isolated from a processing unit connected to the transfer compartment. The small size and increased capacity of the 2600TC and 2601TC transfer tanks can achieve the ratio of 'Transporter 1400', which can reduce the footprint of linear distributed processing equipment. Minimizing the volume of the transport compartment can also reduce any pumping cycle of the transport compartment. The cycle time, as can be appreciated, the load gates such as the load gates 110 and 900LL can also be applied to the line-distribution processing tool in a form substantially similar to that described in the previous control transfer tanks 2600TC, 2601TC. Referring now to Figures 27A-27C Another embodiment of the substrate transfer system is shown. In this embodiment, the transport system includes an atmospheric transfer module 2710, a loading cassette module 1900, and a processing module 1940. 1900 and a processing module 1940, it is to be understood that any suitable number of loading modules and processing modules can be coupled to the transport module 2710; in this example, the transport module 2710 can be configured as an atmospheric module. Basically similar to an equipment front end module (similar to EFEM 150 of Figure 1), the transport module 2710 includes a transfer robot 2700 that is substantially similar to the aforementioned robot 1400, wherein the robot is operated For example, in an atmospheric environment, the transfer robot 2710 can be mounted on a path 2720, such that the robot 2700 can be switched in the direction of the arrow 2730 to allow the pick-up/place substrate to be coupled to the transport module 2710. For example, a suitable example of a path-mounted transport device can be found in U.S. Patent Application Serial No. 11/159,726, entitled "Dual Arm Substrate Transport Apparatus", Applicant filed May 29, 2002. It should be understood that the motion profile of the transport robot 2700 can be substantially similar to that described above with reference to Figure 16B 'e.g., the substrate S is placed at the end; above, when within the transport module 2710 , along a roughly arched 2750 is basically similar to the passage 167〇; and when the transfer module 271 is shaped by the passage/buffer, the processing module or the lining, the Similar to the channel contact; in the embodiment, the linear path can be along the -reference center, the line scu, the scu line or the line 271 操作 the operation path" as the transfer mode for this example, the transfer mode 271〇 shows the straight group 1900 and the processing module 194〇, and the 俾 俾 于 于 于 至 至 至 至 至 至 至 至 至 至 珲 珲 珲 珲 珲 珲 珲 珲 珲 珲 珲 珲 珲 珲 珲 珲 珲 珲 珲 珲 基板 基板The combination of the embodiment and the assembly. The loading and processing module should be understood, and the embodiments described herein may be individually or in accordance with the following description: In combination with the case, it can be known to those skilled in the art, and it is intended to be included in this series. The case is not required to be included in this series. 35 200915464 [Simplified illustration] Figure 1A and 1B: An illustration of an example of a substrate processing system in accordance with an embodiment. 1C is a partial explanatory view showing an example of a substrate processing system of the embodiment shown in FIGS. 1A and 1B. FIGS. 2A and 2B are explanatory views showing another example of the substrate processing system according to an embodiment. 3A-3D, 4A, 4B, 5A and 5B are partial explanatory views of a substrate processing system in accordance with an embodiment. 6 and 7 are explanatory views of a substrate processing system in accordance with various embodiments. Figures 8 and 9 are cross-sectional explanatory views showing an example of the substrate processing system shown in Figures 6 and 7. 9A-D are explanatory views of an example of a transport module according to an embodiment. Figures 10A-D are illustrations of an example of a transport module in accordance with an embodiment. 11A and 11B are explanatory views of an example of a transfer cabin module according to an embodiment. Figs. 12A and 12B are explanatory views of a transfer case of the transfer case module of the embodiment shown in Figs. 11A and 11B. Figure 13A is a plan explanatory view of a substrate processing system in accordance with an embodiment. Figure 13B is an explanatory view showing an example of a transmission device according to an embodiment. 14A-14C are plan explanatory views of a substrate carrying device according to an embodiment. 15A and 15B are explanatory views of another flat 36 200915464 of a substrate carrying device according to an embodiment. Figs. 16A-B and 17A-B are explanatory views of a substrate transport device transport path according to an embodiment. Figure 18 is an illustration of an example of a substrate transport apparatus in accordance with an embodiment configuration. 19A-D, 20, 21, 22, and 23 are plan explanatory views of a substrate processing system in accordance with various embodiments. 24A-B are flow diagrams of an embodiment in accordance with an embodiment. Figure 24C is an explanatory diagram of a control panel according to an embodiment. Figures 25A-F are illustrations of another example of a substrate processing system in accordance with an embodiment configuration. Figure 26 is an illustration of another example of a substrate processing system in accordance with an embodiment configuration. 27A-C are explanatory views of still another example of a substrate processing system in accordance with an embodiment configuration. [Main component symbol description] 100 Transit module 101 Transfer module 100C Transfer cabin cavity 110 Load gate 110' '110" Load gate 111 Load brake cover 120 Process chamber 130 Connector (slit valve) 140 Vacuum pump 150 Front end module Equipment (EFEM) 151 Loading 埠160 Valve 200 Single Module 200TC Transfer Compartment 300 Connector 310 Controller 37 200915464 460 Slotted Valve 600 Transfer Manipulator 700S Opening 900B Buffer 900TC Transfer Cabin 970 Transfer Bulkhead 930 Transfer Machinery Hand 920 Buffer 940 Connector 1400 Substrate transport unit 1301 Drive system 1368A, 1368C Drive shaft 1378A, 1378C Stator 1380A, 1380C Rotor 1363 Sleeve 1360 Drive shaft assembly 1437 End effector pulley 1310 Shoulder joint 1312 Wrist joint 1405 Base 1401 Upper arm 1402 Forearm 1411 Elbow joint 1436 First drive shaft 1420 Fifth end effector pulley 1421 Sixth end effector pulley 1435 Second drive shaft 1437 First end effector pulley 143 Shoulder pulley 1431 Bearing 1431 Connector 1440 Second end action Pulley 1411' elbow axis 1439, 14 38 belt 1445 third end effector pulley 1446 fourth end effector pulley 1412, wrist shaft 1620 driven front arm 38 200915464 1301 transport device transmission system 1670, 1770 arched or U-shaped passage 1680, 1780 linear passage 1830 clearance 1810, 1820 substrate holder 1802 forearm 1940 processing module 940V slit valve 1850 path CL1, CL2 operating channel center line 2480 processing control system

2510 裝載閘模組 392510 Loading Brake Module 39

Claims (1)

200915464 十、申請專利範圍: 1·一種基板處理系統包含: 一裝載埠模組,係構成為能容納保持至少一供基板貯存及 運送之基板盒, 一基板處理艙, 一可隔離轉運艙,係構成能為耦合該基板處理艙與該裝載 埠模組,及 一基板運送裝置,乃至少局部裝設於轉運艙内,且具有構 成可支撐至少一基板之臂連桿,該臂連桿經構型為可僅以一次 碰觸該至少一基板,而直接運送該至少一基板於該至少一基板 盒與該處理艙之間。 2. 依請求項1之基板處理系統,其中該基板運送裝置包括 一第一臂連桿、一第二臂連桿、及至少一末端作用器可連續旋 轉地相互耦合,其第一與第二臂連桿具有不均等之長度。 3. 依請求項1之基板處理系統,其中該裝載埠模組係直接 耦合至該轉運艙,且該轉運艙則直接耦合至該處理艙。 4. 依請求項1之基板處理系統,其中該處理模組、運送艙 及裝載埠模組乃基本上沿一概略之中心線配置。 5. 依請求項1之基板處理系統,其中該轉運艙之内部容積 係以存在於轉運艙内之氣體量最小化而構型。 40 200915464 6.—種基板處理系統包含: 一前端模組設備具有至少一操作通路俾自該前端模組設 備轉運基板; 至少一基板轉運模組直接耦合至該前端處理設備;及 至少一基板處理模組耦合至各該至少一基板轉運模組; 其中各該至少一基板轉運模組之一中心線,及對應之一至 少一基板處理模組乃基本上與相對應之至少一操作通路成一 直線。 7. 依請求項6之基板處理系統,其中該至少一基板轉運模 組包含: 一第一艙構型以與前端處理設備對接並夾持至少一基板; 一第二艙構型以與至少一基板處理模組對接;及 一基板運送裝置至少局部安置於該第二艙内,經構型以運 送基板自第一艙至該至少一基板處理模組。 8. 依請求項7之基板處理系統,其中該第一及第二艙係彼 此及與相對應之一前端處理設備及至少一基板處理模組倶可 相隔離。 9.依請求項7之基板處理系統,其中該第一艙構成為一基 板緩衝裝置,且一可移動之閥插件乃構成能隔離該第一及第二 艙,俾將該緩衝裝置轉換為一裝載閘。 41 200915464 10. 依請求項7之基板處理模組,其中該第一及第二艙形 成一可分隔之單一艘。 11. 依請求項6之基板處理系統,其中該至少一基板轉運 模組包括一基板運送裝置,以供運送基板於該至少一基板轉運 模組與該至少一基板處理模組之間。 12·依請求項6之基板處理系統,其中該基板轉運模組至 少包括一基板緩衝裝置、一基板冷卻裝置及一基板校準器之 13.—種基板轉運系統包含: 一前端單元,構成為可自一基板盒轉運基板; 一轉運模組連結至該前端單元; 一基板處理艙耦合至該轉運模組,該轉運模組具有一寬 度,使該轉運模組與處理艙二者之一中心線可定位於沿著該前 端單元之至少一操作通路,該操作通路經構成能供轉運基板自 該前端單元;及 一基板運送裝置至少局部裝設於該轉運模組内,並構成能 運送基板於該處理艙與轉運模組間,該基板運送裝置包括一傳 動部、二臂連桿及至少一末端作用器可旋轉地相互連結; 一第一臂連桿經可旋轉地連結至該轉運模組外殼於第一 端處,該第一臂連桿具有一第一長度; 一第二臂連桿之第一端經可旋轉地連結至該第一臂連桿 42 200915464 之第二端,該第二臂連桿具有一第二長度,其中該第二臂連桿 之旋轉乃從動於該第一臂連桿之之旋轉;且 該至少一末端作用器經可旋轉地連結至該第二臂連桿之 第二端,且經構型以夾持至少一基板,該至少一末端作用器乃 分別可旋轉地傳動自該第一及第二臂連桿。 14.依請求項13之運送系統,其中該前端單元包括大致相 互平行之多數操作通路,其中一轉運模組係耦合至各該多數操 作通路。 15. 依請求項13之運送系統,其中該轉運模組包括一緩衝 部以供缓衝至少一基板,及一運送部以供圍覆至少該運送裝置 之一部分。 16. 依請求項15之運送系統,其中該轉運模組更包括一可 卸離之閥插件,經構型為能使緩衝部轉換成具有一氣氛可隔離 自運送部之裝載閘。 17. 依請求項15之運送系統,其中該緩衝部及運送部乃形 成一選擇性可隔離自處理艙及前端單元之單一艙。 18. 依請求項13之運送系統,其中該轉運模組至少包括一 基板缓衝裝置、一基板冷卻器及一基板校準器之一。 43 200915464 19. 一種基板運送裝置,包含: 一構架; 一傳動部連結至該構架且具有至少二動作旋轉軸;及 至少一運送臂耦合至該傳動部,該至少一運送臂包含: 一第一臂連桿可旋轉地耗合至該傳動部之一第一旋轉軸 於一肩關節周圍之一第一端, 一第二臂連桿於第一端可旋轉地耦合至第一臂連桿之第 二端於一肘關節周圍,且係從動於該第一臂連桿,該第二臂連 桿之長度乃不同於該第一臂連桿之長度, 至少二基板支架各構型以支承一基板,該至少二基板支架 係可旋轉地耦合至該第二臂連桿之一第二端於一腕關節處,且 其中該至少二基板支架僅分別耦合至傳動部之一第二旋 轉軸,以供其各自對應之至少二末端作用器大致相等而反向之 旋轉,運送裝置則構型以供該至少二末端作用器對應於肩關節 作雙向伸展。 20. 依請求項19之基板運送裝置,其中該運送臂係構型以 運送基板於構架内沿一大致成弧形之通路;於構架外則沿該基 板運送裝置中心線一大致成直線之通路。 21. 依請求項19之基板運送裝置,其中該傳動部復包括至 少一線性移動軸心。 22. 依請求項19之基板運送裝置,其中該基板運送臂及傳 44 200915464 動部乃構型以操作於大氣或真空環境中者。 23. —種方法,包含: 以一安置於一基板處理系統中之一基板運送臂,自耦合至 該基板處理系統一裝載埠之一基板盒拾取至少一基板;及 以該基板運送臂直接自基板盒轉運該至少一基板至該基 板處理系統之一處理模組,於轉運過程中,該至少一基板僅被 夾持一次。 24. 依請求項23之方法,其中該基板運送臂係安置於一與 裝載埠及處理模組耦合之轉運艙内,該方法復包括隔離轉運艙 之一氣氛。 25.依請求項23之方法,其中該基板運送臂包括一快速交換 運送臂,該方法復包括自該處理模組移離一已處理基板,並 以該快速交換運送臂放置一未處理基板於處理模組中,以供 一基本上之基板連續處理。 45200915464 X. Patent application scope: 1. A substrate processing system comprises: a loading cassette module configured to accommodate at least one substrate cassette for storing and transporting substrates, a substrate processing chamber, and an isolation transport compartment. The device can be coupled to the substrate processing chamber and the loading cassette module, and a substrate transport device is at least partially mounted in the transport compartment, and has an arm link that can support at least one substrate, and the arm link is configured The at least one substrate can be directly transported between the at least one substrate cassette and the processing chamber. 2. The substrate processing system of claim 1, wherein the substrate carrying device comprises a first arm link, a second arm link, and at least one end effector rotatably coupled to each other, first and second The arm links have unequal lengths. 3. The substrate processing system of claim 1, wherein the load cassette module is directly coupled to the transfer compartment and the transfer compartment is directly coupled to the processing compartment. 4. The substrate processing system of claim 1, wherein the processing module, the shipping compartment, and the loading cassette are disposed substantially along a generally centerline. 5. The substrate processing system of claim 1, wherein the internal volume of the transfer compartment is configured to minimize the amount of gas present in the transfer compartment. 40 200915464 6. The substrate processing system comprises: a front end module device having at least one operation path from the front end module device transfer substrate; at least one substrate transfer module directly coupled to the front end processing device; and at least one substrate processing The module is coupled to each of the at least one substrate transport module; wherein a center line of each of the at least one substrate transport module, and one of the at least one substrate processing module is substantially in line with the corresponding at least one operation path . 7. The substrate processing system of claim 6, wherein the at least one substrate transport module comprises: a first cabin configuration to interface with the front end processing device and to clamp at least one substrate; a second cabin configuration to at least one The substrate processing module is docked; and a substrate transport device is at least partially disposed in the second chamber, configured to transport the substrate from the first chamber to the at least one substrate processing module. 8. The substrate processing system of claim 7, wherein the first and second compartments are isolated from each other and from a corresponding one of the front end processing devices and the at least one substrate processing module. 9. The substrate processing system of claim 7, wherein the first chamber is configured as a substrate buffer device, and a movable valve insert is configured to isolate the first and second chambers, and convert the buffer device into a Load the brakes. 41. The substrate processing module of claim 7, wherein the first and second compartments form a single ship that can be separated. 11. The substrate processing system of claim 6, wherein the at least one substrate transport module comprises a substrate transport device for transporting the substrate between the at least one substrate transport module and the at least one substrate processing module. The substrate processing system of claim 6, wherein the substrate transfer module comprises at least a substrate buffer device, a substrate cooling device and a substrate aligner. The substrate transfer system comprises: a front end unit configured to be Transferring a substrate from a substrate cassette; a transfer module coupled to the front end unit; a substrate processing chamber coupled to the transfer module, the transfer module having a width such that a center line of the transfer module and the processing chamber Positioning in at least one operation path along the front end unit, the operation path is configured to be capable of transporting the substrate from the front end unit; and a substrate transport device is at least partially mounted in the transfer module, and configured to transport the substrate The substrate transport device includes a transmission portion, a two-arm link and at least one end effector rotatably coupled to each other; a first arm link is rotatably coupled to the transfer module The first arm link has a first length at the first end; the first end of the second arm link is rotatably coupled to the first arm link 42 20091 a second end of the fifth arm, the second arm link has a second length, wherein the rotation of the second arm link is driven by the rotation of the first arm link; and the at least one end effector is Rotatablely coupled to the second end of the second arm link and configured to clamp at least one substrate, the at least one end effector being rotatably driven from the first and second arm links, respectively. 14. The shipping system of claim 13, wherein the front end unit comprises a plurality of operational paths that are substantially parallel to one another, wherein a transfer module is coupled to each of the plurality of operational paths. 15. The transport system of claim 13, wherein the transport module includes a buffer for buffering at least one substrate, and a transport portion for covering at least a portion of the transport device. 16. The transport system of claim 15 wherein the transport module further comprises a detachable valve insert configured to convert the buffer portion into a load lock having an atmosphere that can be isolated from the transport portion. 17. The transport system of claim 15 wherein the buffer portion and the transport portion form a single compartment selectively detachable from the processing chamber and the front end unit. 18. The transport system of claim 13, wherein the transport module comprises at least one of a substrate buffer, a substrate cooler, and a substrate aligner. 43 200915464 19. A substrate transport apparatus comprising: a frame; a transmission portion coupled to the frame and having at least two motion rotation axes; and at least one transport arm coupled to the transmission portion, the at least one transport arm comprising: a first The arm link is rotatably coupled to a first end of the first rotating shaft of the transmission portion at a shoulder joint, and the second arm link is rotatably coupled to the first arm link at the first end The second end is around an elbow joint and is driven by the first arm link. The length of the second arm link is different from the length of the first arm link, and at least two substrate supports are configured to support a substrate, the at least two substrate holders being rotatably coupled to one of the second ends of the second arm link at a wrist joint, and wherein the at least two substrate holders are only coupled to one of the second rotational axes of the transmission portion, respectively The transport device is configured for the at least two end effectors to extend bi-directionally corresponding to the shoulder joints, with their respective corresponding at least two end effectors rotating substantially oppositely. 20. The substrate transport device of claim 19, wherein the transport arm is configured to transport the substrate along a substantially arcuate path within the frame; and outside the frame, a substantially straight path along the centerline of the substrate transport device . 21. The substrate transport device of claim 19, wherein the transmission portion further comprises at least one linear movement axis. 22. The substrate transport apparatus of claim 19, wherein the substrate transport arm and the actuator are configured to operate in an atmosphere or a vacuum environment. 23. A method comprising: picking up at least one substrate by a substrate transport arm disposed in a substrate processing system, self-coupling to a substrate processing system, and loading the arm directly from the substrate The substrate cassette transports the at least one substrate to a processing module of the substrate processing system, and the at least one substrate is only clamped once during the transport. 24. The method of claim 23, wherein the substrate transport arm is disposed in a transport bay coupled to the load cassette and the processing module, the method comprising an atmosphere of the isolation transport compartment. 25. The method of claim 23, wherein the substrate transport arm comprises a fast exchange transport arm, the method comprising: removing a processed substrate from the processing module, and placing an unprocessed substrate on the fast exchange transport arm The processing module is configured to continuously process a substantially substrate. 45
TW97118334A 2007-05-18 2008-05-19 Substrate transport, substrate processing system and method for transporting substrates TWI474418B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US93891307P 2007-05-18 2007-05-18

Publications (2)

Publication Number Publication Date
TW200915464A true TW200915464A (en) 2009-04-01
TWI474418B TWI474418B (en) 2015-02-21

Family

ID=44725750

Family Applications (1)

Application Number Title Priority Date Filing Date
TW97118334A TWI474418B (en) 2007-05-18 2008-05-19 Substrate transport, substrate processing system and method for transporting substrates

Country Status (1)

Country Link
TW (1) TWI474418B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107756436A (en) * 2017-10-16 2018-03-06 华南理工大学 A kind of cylindrical pair joint drive and drive mechanism and its method for SCARA

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4256551B2 (en) * 1998-12-25 2009-04-22 東京エレクトロン株式会社 Vacuum processing system
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US9248568B2 (en) * 2005-07-11 2016-02-02 Brooks Automation, Inc. Unequal link SCARA arm

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107756436A (en) * 2017-10-16 2018-03-06 华南理工大学 A kind of cylindrical pair joint drive and drive mechanism and its method for SCARA

Also Published As

Publication number Publication date
TWI474418B (en) 2015-02-21

Similar Documents

Publication Publication Date Title
JP6480488B2 (en) Substrate transfer method
JP7263639B2 (en) Substrate transfer section
US10204810B2 (en) Linear vacuum robot with Z motion and articulated arm
TWI725303B (en) Substrate processing apparatus
TWI446477B (en) Apparatus and methods for transporting and processing substrates
WO2012098871A1 (en) Vacuum processing apparatus
TWI532114B (en) Vacuum processing device and operation method of vacuum processing device
JP5984036B2 (en) A linear vacuum robot with z-motion and multi-joint arm
TW201123340A (en) Vacuum processing system and vacuum processing method of semiconductor processing substrate
JP6306813B2 (en) Modular semiconductor processing system
JP2024023180A (en) Systems and methods for integrating load locks into factory interface footprint space
TWI514499B (en) Drive device and substrate processing system
JP5388279B2 (en) Substrate transfer processing apparatus and method
TW200915464A (en) Compact substrate transport system with fast swap robot
TWI488247B (en) Apparatus and method for transporting and processing substrates
CN115916478B (en) System and method for integrating load locks into factory interface footprints
KR102139613B1 (en) Apparatus for transfer a substrate and apparatus for treating a substrate
TWI813479B (en) Method and apparatus for substrate transport