JP5388279B2 - Substrate transfer processing apparatus and method - Google Patents

Substrate transfer processing apparatus and method Download PDF

Info

Publication number
JP5388279B2
JP5388279B2 JP2009046027A JP2009046027A JP5388279B2 JP 5388279 B2 JP5388279 B2 JP 5388279B2 JP 2009046027 A JP2009046027 A JP 2009046027A JP 2009046027 A JP2009046027 A JP 2009046027A JP 5388279 B2 JP5388279 B2 JP 5388279B2
Authority
JP
Japan
Prior art keywords
chamber
processing
wafer
substrate
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009046027A
Other languages
Japanese (ja)
Other versions
JP2010199517A (en
Inventor
テリー ブルック
ケヴィン ピー. フェアベアーン
マイケル エス. バーンズ
クリストファー ティー. レーン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intevac Inc
Original Assignee
Intevac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intevac Inc filed Critical Intevac Inc
Priority to JP2009046027A priority Critical patent/JP5388279B2/en
Publication of JP2010199517A publication Critical patent/JP2010199517A/en
Application granted granted Critical
Publication of JP5388279B2 publication Critical patent/JP5388279B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は一般に、新規な基板搬送処理装置及び方法に関し、特にウェーハ搬送処理装置及び方法に関する。   The present invention generally relates to a novel substrate transfer processing apparatus and method, and more particularly to a wafer transfer processing apparatus and method.

半導体製造では、クラスタツールと呼ばれる一般的なツールが、ウェーハ製造で使用される重要なユニットの1つである。典型的な商用装置は、ほぼ円形の中央領域を有し、その外周に沿ってチャンバが取り付けられる。各チャンバは、中央領域周囲の外側に延在する。ウェーハが処理されるとき、各ウェーハは、先ず中央チャンバの外周上の入出力ステーションから中央チャンバ内に移動され、次いで中央チャンバから付属の(attached)又は周辺のチャンバ内に移動され、それぞれの処理が行われる。このツールでは、今日使用されているほぼすべての製造システムの場合と同様に、ウェーハは通常、一時に1つずつ処理される。ウェーハは、処理のためにチャンバ内に移動させた後、中央チャンバに戻すことができる。その後、さらに別の周辺チャンバへと移動させた後、後続の処理を行って中央チャンバに戻すことができる。最終的にウェーハの処理が完了すると、当該ウェーハ全体がツールの外部に移動される。このような外部への移動は、真空システムと連結された入出力ステーション又はチャンバを介して同様に行われ、このような処理は一般に、「ロードロック」と呼ばれる。ロードロックでは、ウェーハが真空中から雰囲気(大気:atmosphere)中に移動される。この種のユニットは、例えば特許文献1に記載されている。   In semiconductor manufacturing, a common tool called a cluster tool is one of the important units used in wafer manufacturing. A typical commercial device has a generally circular central region, along which the chamber is mounted. Each chamber extends outward around the central region. As wafers are processed, each wafer is first moved from the I / O station on the outer periphery of the central chamber into the central chamber, and then moved from the central chamber into the attached or peripheral chamber for respective processing. Is done. With this tool, as with almost all manufacturing systems in use today, wafers are typically processed one at a time. After the wafer has been moved into the chamber for processing, it can be returned to the central chamber. Subsequently, after moving to another peripheral chamber, subsequent processing can be performed and returned to the central chamber. When the wafer processing is finally completed, the entire wafer is moved out of the tool. Such outward movement is similarly performed via an input / output station or chamber coupled to a vacuum system, and such processing is commonly referred to as “load lock”. In the load lock, the wafer is moved from a vacuum to an atmosphere (atmosphere). This type of unit is described in Patent Document 1, for example.

別のツールでは、ウェーハは、中心軸に沿って索引付けされ、周囲の処理チャンバを介してフィードされる。このツールでは、すべてのウェーハが同時に次の処理停止地点にフィードされる。各ウェーハを独立して処理することはできるが、独立して移動させることはできない。ウェーハはすべて同じ時間だけ処理ステーションに留まるが、各ステーションにおける処理は、処理開始地点毎に許可される最大時間に限り、独立して制御することができることは言うまでもない。最初に説明したツールは、前記のように動作させることができるが、実際には、各ウェーハが隣接する処理チャンバに順々に移動しないように、また、必ずしもすべてのウェーハの処理チャンバにおける滞留時間を同一にする必要がなくなるように、各ウェーハを移動させることができる。   In another tool, wafers are indexed along a central axis and fed through a surrounding processing chamber. With this tool, all wafers are fed simultaneously to the next processing stop. Each wafer can be processed independently, but cannot be moved independently. All wafers remain at the processing station for the same amount of time, but it goes without saying that the processing at each station can be controlled independently only for the maximum time allowed for each processing start point. The first described tool can be operated as described above, but in practice, each wafer does not move sequentially into the adjacent processing chamber, and not all wafers have a residence time in the processing chamber. The wafers can be moved so that they do not need to be the same.

前記のいずれかのシステムが動作しているとき、中央領域は、一般に真空側に所在するが、他の何らかの事前選択される制御された環境あるいは所定の制御された環境に所在することもある。この中央セクションには、例えば処理チャンバ内で実施される処理に有用なガスが存在する可能性がある。中央ゾーンの外面に沿うチャンバ又はコンパートメントも、一般には真空側に所在するが、事前選択される制御されたガス環境を有することもある。処理もまた真空中で実施され、一般には、真空中のウェーハを中央チャンバから付属のチャンバ又はコンパートメントに移動させることによって実施される。一般に、ウェーハが処理のためにチャンバ又はコンパートメントに到達すると、当該チャンバ又はコンパートメントは、中央チャンバから隔離(sealed off)される。これにより、処理チャンバ又はコンパートメント内で使用される材料及び/又はガスが中央ゾーンに到達することが防止され、その結果、中央ゾーンならびに付属の処理チャンバ内の雰囲気の汚染が防止され、且つ/又は中央ゾーンに位置する処理待ちのウェーハ又は後続処理待ちのウェーハの汚染が防止される。これにより、チャンバ内で実行される特定の処理のために中央チャンバ内で使用される真空レベルとは異なる真空レベルに、処理チャンバをセットすることも可能となる。例えば、チャンバの処理技術でより多くの真空が必要とされる場合には、当該チャンバ内で実施される特定の処理の処理要件に合致するように、中央ゾーンとチャンバの間の所定の位置にシールを設けてチャンバ自体をさらに減圧することができる。一方、より少ない真空が必要とされる場合には、中央チャンバの圧力に影響を与えることなく加圧することができる。ウェーハの処理が完了した後は、ウェーハは中央チャンバに戻され、その後システム外部に移動される。このように、ウェーハは、前記のツールを利用して順次チャンバ内を進行することができ、使用可能なすべての処理を受けることができる。別法として、ウェーハは、選択されたチャンバ内だけを通過し、選択された処理だけを受けることもできる。   When any of the above systems are operating, the central region is typically located on the vacuum side, but may be located in some other preselected controlled environment or a predetermined controlled environment. In this central section there may be gases useful for processing performed, for example, in a processing chamber. The chamber or compartment along the outer surface of the central zone is also typically located on the vacuum side, but may have a preselected controlled gas environment. Processing is also performed in a vacuum, typically by moving a wafer in vacuum from a central chamber to an attached chamber or compartment. In general, when a wafer reaches a chamber or compartment for processing, the chamber or compartment is sealed off from the central chamber. This prevents the materials and / or gases used in the processing chamber or compartment from reaching the central zone, thus preventing contamination of the atmosphere in the central zone and the attached processing chamber and / or Contamination of a wafer waiting for processing or a wafer waiting for subsequent processing located in the central zone is prevented. This also allows the processing chamber to be set to a vacuum level that is different from the vacuum level used in the central chamber for the particular processing performed in the chamber. For example, if a chamber processing technique requires more vacuum, it should be in place between the central zone and the chamber to meet the processing requirements of a particular process performed within that chamber. A seal can be provided to further depressurize the chamber itself. On the other hand, if less vacuum is required, pressurization can be performed without affecting the pressure in the central chamber. After wafer processing is complete, the wafer is returned to the central chamber and then moved out of the system. In this manner, the wafer can be sequentially advanced through the chamber utilizing the tools described above and can receive all available processes. Alternatively, the wafer may only pass through selected chambers and receive only selected processing.

当技術分野で提供される機器では、前記の処理の様々なバリエーションも使用されている。しかしながら、それらのバリエーションは、様々な処理に不可欠な中央領域又は中央ゾーンに依存する傾向がある。また、そのような機器の主な用途がウェーハを作成することであるため、本明細書では、主にウェーハに関して論じている。しかしながら、本明細書で論じる処理の大部分は一般に、基板にも適用可能であり、本明細書の論旨は、そのような基板及び製造機器にも適用されるものと解釈すべきであることを理解されたい。
近年、それ自体の形状が円形ではなく線形である点、及びウェーハが処理のためにあるチャンバから次のチャンバへと移動する点で前記のシステムとは異なるシステムが説明されている。ウェーハが1つのチャンバから隣接するチャンバに順々に移動するため、機器の一部として中央ゾーンを設ける必要がなくなる。このツールでは、ウェーハがユニット内に入ると、一般には、ウェーハがシステム内を移動するときにウェーハと一緒に移動するチャックに取り付けられる。このユニットでは、各チャンバ内で実施される処理時間は、それぞれ等しい。
Various variations of the above processes are also used in equipment provided in the art. However, these variations tend to depend on the central region or central zone that is essential for the various processes. Also, since the primary use of such equipment is to make wafers, this specification primarily discusses wafers. However, it should be understood that most of the processes discussed herein are generally applicable to substrates, and that the teachings herein should be construed to apply to such substrates and manufacturing equipment as well. I want you to understand.
In recent years, systems have been described that differ from those described above in that they are linear rather than circular in shape and that the wafer moves from one chamber to the next for processing. Since the wafers move sequentially from one chamber to adjacent chambers, there is no need to provide a central zone as part of the equipment. With this tool, when a wafer enters the unit, it is typically attached to a chuck that moves with the wafer as it moves through the system. In this unit, the processing time performed in each chamber is the same.

このシステムの設置面積は、処理チャンバのみの設置面積に近似し、大きい中央ゾーンを含まないため、当技術分野の典型的な設置面積よりも小さくなる。これが、このタイプの機器の利点である。このシステムは、特許文献2に記載されている。この特定のシステムでは、各処理ステーションにおける滞留時間が均一となる。言うまでもなく、これによっていくつかの処理上の差異を最長滞留時間の長さで制限することができる。様々なステーションで滞留時間を独立して制御する必要がある場合は、別のアプローチが好ましい可能性もある。また、このタイプの機器は、修理又は保守のために1つのステーションがダウンした場合、システム全体が処理のために使用できなくなるという欠点を有する。   The footprint of this system is smaller than the typical footprint of the art because it approximates the footprint of the process chamber only and does not include a large central zone. This is the advantage of this type of equipment. This system is described in Patent Document 2. In this particular system, the residence time at each processing station is uniform. Of course, this allows some processing differences to be limited by the length of the longest residence time. Another approach may be preferred if the residence time needs to be controlled independently at various stations. This type of equipment also has the disadvantage that if one station goes down for repair or maintenance, the entire system becomes unusable for processing.

米国特許第4,951,601号US Pat. No. 4,951,601 米国特許出願公開第2006/0102078 A1号US Patent Application Publication No. 2006/0102078 A1

この発明は、小さい設置面積を維持すると共に、処理ステーション毎に個別に滞留時間を制御するようにできることを狙った新規なウェーハ処理ユニットに向けられたものである。またこの発明は、もし1又はそれ以上のステーションが1つ又はその他の理由でダウンしている場合であっても、進行中の動作を許容する。半導体を製造するためのコストが極めて高いとの認識が一部においては存在しており、またこのコストは増加している。高いコストが高くなるほど、この分野への投資を行う際の危険はより大きくなる。その目的は、合理的な割合だけコストを下げる機器を定義し、「無駄のない」製造原理に従った改良されたシステム及びサービスを提供することである。このように、本発明の目的は、小さい設置面積を維持しつつ処理チャンバを最大化することである。他の目的は、処理ステーションの利用を最大化することである。他の目的は、ロボット工学及びこの器材の点検(service)を単純化することである。このシステムはまた、相当な冗長性も提供する。それは、メインフレームの点検の間でさえ、処理システムを最大で100%利用できる可能性を含んでいる。このような場合、使用されているチャンバは少ないが、すべてのプロセスはウェーハの処理に使われ続けることができる。そして、チャンバの点検及び処理は、処理チャンバの後部または前面から可能である。加えて、好ましい実施例では、処理チャンバは、線形配置にて準備される。これは、さまざまな処理ステーションにおいてウェーハ用の個別プログラムを実行可能とするシステムにとって最小の設置面積を保証する。   The present invention is directed to a novel wafer processing unit that aims to maintain a small footprint and to control the residence time for each processing station individually. The present invention also allows ongoing operation even if one or more stations are down for one or other reasons. There is some recognition that the cost of manufacturing semiconductors is extremely high, and this cost is increasing. The higher the cost, the greater the risk when investing in this area. Its purpose is to define equipment that lowers costs by a reasonable percentage and to provide improved systems and services in accordance with “lean” manufacturing principles. Thus, an object of the present invention is to maximize the processing chamber while maintaining a small footprint. Another objective is to maximize the utilization of the processing station. Another object is to simplify robotics and service of this equipment. This system also provides considerable redundancy. It includes the possibility of using up to 100% of the processing system even during mainframe inspection. In such cases, fewer chambers are used, but all processes can continue to be used for wafer processing. The chamber can be inspected and processed from the back or front of the processing chamber. In addition, in a preferred embodiment, the processing chamber is prepared in a linear arrangement. This ensures a minimum footprint for a system that allows individual programs for wafers to be executed at various processing stations.

処理チャンバは一般に、ウェーハの処理に関連して使用される様々なプロセスのいずれかを実行する能力を有することができる。例えばウェーハの製作で、ウェーハは、とりわけ、通常一つ以上のエッチングステップ、一つ以上のスパッタリングまたは物理蒸着法プロセス、イオン注入、化学蒸着(CVD)、及び加熱及び/または冷却処理を実行される。 The processing chamber may generally have the ability to perform any of a variety of processes used in connection with wafer processing. For example, in wafer fabrication, the wafer is typically subjected to one or more etching steps, one or more sputtering or physical vapor deposition processes, ion implantation, chemical vapor deposition (CVD), and heating and / or cooling processes, among others. .

ウェーハを作る処理ステップの数は、これらのさまざまなプロセスを実行するために従来の装置を使用する場合には、複数のツール、又は大きいサブシステムを有するツールが必要とされるであろう、ということを意味し得る。しかしながら、本発明のシステムは、付加的な機能的なステーションが、サイズの顕著な増加を伴わず、また新規な全体のシステムを加える必要なしで、加えられることが可能であるという更なる効果を提供する。   The number of processing steps to make a wafer will require multiple tools, or tools with large subsystems, when using conventional equipment to perform these various processes. Can mean that. However, the system of the present invention has the additional advantage that additional functional stations can be added without a significant increase in size and without the need to add a new overall system. provide.

これらのさまざまな目的を達成するために、ウェーハの搬送は、チャンバ設計から独立するように構築される。このため、チャンバはある処理能力を有するチャンバとして働くように設計される。そして、搬送システムはチャンバ設計とは独立して作動するように構成されて、処理チャンバへ、又は処理チャンバからウェーハを供給するように構築される。
開示された好ましい実施例の搬送は、真空壁を介した線形/回転運動に基づいている単純なリンケージアームに依存している。
経費を低く保つことと関連して、チャンバ設計は、モジュール方式に基づく。このように実施例において、システムは3つのチャンバを有することができる。または、マッチング構造を利用することができ、システムは6つのチャンバを有することができる。あるいは、この最後の一文は、4又は8個のチャンバ、又はこれ以外の複数に関し繰り返され得るものであり、あるいは、異なる数の処理ステーションを有するモジュールにマッチさせることができる。
In order to achieve these various objectives, wafer transport is constructed to be independent of chamber design. For this reason, the chamber is designed to act as a chamber with a certain throughput. The transfer system is then configured to operate independently of the chamber design and is configured to supply wafers to or from the processing chamber.
The delivery of the preferred embodiment disclosed relies on a simple linkage arm that is based on linear / rotational motion through a vacuum wall.
In connection with keeping costs low, chamber design is based on modularity. Thus, in an embodiment, the system can have three chambers. Alternatively, a matching structure can be utilized and the system can have six chambers. Alternatively, this last sentence can be repeated for 4 or 8 chambers, or a plurality of others, or can be matched to modules having different numbers of processing stations.

このシステムは拡張可能であり、加えて将来のプロセスや用途に適用されるかもしれない技術とは独立に拡張可能である。線形のウェーハの搬送が使用される。その結果、クリーンルームの大きさの要求を超えない小さな面積のシステムにおいて、高いスループットが得られる。加えて、異なる処理ステップが同一の処理プラットフォームに構築され得る。
この発明の一態様によれば、基板処理システムが開示される。このシステムは真空部と雰囲気部を有する細長い基板転送チャンバと、前記真空部内で前記搬送チャンバに装着される第1線形トラックと、前記雰囲気部内で前記搬送チャンバに装着される第2線形トラックと、前記第1線形トラックに線形的に載せられた第1基部と、前記第2線形トラックに線形的に載せられた第2基部と、前記第1基部に乗せられ、入力として磁気結合フォロワを有し、減速された回転速度を出力として提供する減速器と、前記第2基部に搭載され、真空壁を介して前記磁気結合フォロワに回転運動を与える磁気ドライバを回転させる回転モータと、前記減速器の出力に結合されたロボットアームとを備えている。リニアモータを前記第2基部に装着して、線形動作を与えても良く、また、磁化ホイールが第2基部に装着されていてもよい。線形運動エンコーダが前記第2基部に連結されていてもよく、前記回転モータにロータリーエンコーダが結合されていてもよい。2つのロボットアームを有するシステムでは、アーム延長部がロボットアームの1つに結合され、複数のロボットアームの回転軸が一致するようにしてもよい。
この発明の別の一態様によれば、ロードロックから処理チャンバに向けて、真空搬送チャンバを介してウェーハを搬送する方法が提供される。この方法は搬送チャンバ内においてロボットアームを提供し、真空壁を介してロボットアームに線形運動を磁気的に連結させ、真空壁を介してロボットアームに回転運動を磁気的に連結させ、真空搬送チャンバの内部において前記回転運動の速度を減速させるものである。この方法は、更に次のステップも有する。
・ウェーハの中心として定義される第1中心点が前記ロードロック内に位置するものと決定する
・ウェーハの中心として定義される第2中心点が前記処理チャンバ内に位置するものと決定する
・ロボットアームの回転中心点の位置を決定する
・前記ロボットアーム上に位置するウェーハが、前記ロードロックと前記処理チャンバとの間において直線状にのみ移動するよう、前記ロボットアームの線形運動と回転運動の組み合わせを計算する
The system is scalable and in addition can be extended independent of technologies that may be applied to future processes and applications. Linear wafer transport is used. As a result, a high throughput can be obtained in a system having a small area that does not exceed the size requirement of the clean room. In addition, different processing steps can be built on the same processing platform.
According to one aspect of the invention, a substrate processing system is disclosed. The system includes an elongated substrate transfer chamber having a vacuum part and an atmosphere part, a first linear track attached to the transfer chamber in the vacuum part, and a second linear track attached to the transfer chamber in the atmosphere part; A first base linearly mounted on the first linear track; a second base linearly mounted on the second linear track; and a magnetically coupled follower mounted on the first base as an input A speed reducer that provides a reduced rotational speed as an output, a rotary motor that is mounted on the second base and that rotates a magnetic driver that imparts rotational motion to the magnetically coupled follower via a vacuum wall; and A robot arm coupled to the output. A linear motor may be attached to the second base to provide linear motion, and a magnetizing wheel may be attached to the second base. A linear motion encoder may be coupled to the second base, and a rotary encoder may be coupled to the rotary motor. In a system having two robot arms, the arm extension may be coupled to one of the robot arms so that the rotation axes of the plurality of robot arms coincide.
According to another aspect of the invention, a method is provided for transporting a wafer through a vacuum transport chamber from a load lock to a processing chamber. This method provides a robot arm in a transfer chamber, magnetically couples linear motion to the robot arm via a vacuum wall, and magnetically couples rotary motion to the robot arm via a vacuum wall, The speed of the rotational motion is decelerated in the interior. The method further includes the following steps.
Determine that the first center point defined as the center of the wafer is located within the loadlock. Determine that the second center point defined as the center of the wafer is located within the processing chamber. Determine the position of the center of rotation of the arm. ・ Move the linear and rotational motion of the robot arm so that the wafer located on the robot arm moves only linearly between the load lock and the processing chamber. Calculate combinations

PVD用途向けの従来技術のクラスタツールの概略図である。1 is a schematic diagram of a prior art cluster tool for PVD applications. FIG. 先述の米国特許出願公開第2006/0102078 A1号に記載されるシステムの概略図であり、従来技術のシステムの性質を示す概略図である。FIG. 2 is a schematic diagram of the system described in the aforementioned US Patent Application Publication No. 2006/0102078 A1, and is a schematic diagram illustrating the nature of the prior art system. 本発明に係る処理システムの概略図である。1 is a schematic diagram of a processing system according to the present invention. 搬送チャンバをより詳細に示す上面概略図である(本図では、3処理ステーションが示されているが、このステーション数は単なる例示目的で使用されている)。FIG. 2 is a schematic top view showing the transfer chamber in more detail (in this figure, three processing stations are shown, but this number of stations is used for illustrative purposes only). ロードロックから搬送又は搬送チャンバ内へのシステム内の一部分を示す略図である。1 is a schematic diagram illustrating a portion of a system from a load lock to a transfer or transfer chamber. システムのための容器の外側において示されたウェーハ移動機構の概略図である。FIG. 2 is a schematic diagram of a wafer transfer mechanism shown outside a container for the system. 好適な実施形態において採用されるトラック駆動システムの概略図である。1 is a schematic diagram of a track drive system employed in a preferred embodiment. 線形運動アセンブリの実施例を例示する。2 illustrates an example of a linear motion assembly. 図4の線AAについての断面図であり、線形運動アセンブリの他の実施例を例示する。FIG. 5A is a cross-sectional view taken along line AA of FIG. 4 and illustrates another example of a linear motion assembly. 雰囲気中の線形トラック及び真空中の線形トラックの実施例を例示している断面図である。FIG. 3 is a cross-sectional view illustrating an example of a linear track in an atmosphere and a linear track in a vacuum. 雰囲気中の線形トラック及び真空の線形トラックの他の実施例を例示する。6 illustrates another embodiment of an atmospheric linear track and a vacuum linear track. 本発明に従った4―ステーション物理蒸着法(PVD)、又はスパッタリングのシステムの概略図である。1 is a schematic diagram of a 4-station physical vapor deposition (PVD) or sputtering system according to the present invention. FIG. 本発明に従う8―ステーション・システムの概略図である。1 is a schematic diagram of an 8-station system according to the present invention. FIG. 本発明に従う6−チャンバシステムの概略図である。1 is a schematic diagram of a 6-chamber system according to the present invention. FIG. 本発明の2つの異なる実施例の概略図の1つである。Figure 2 is one of the schematic views of two different embodiments of the present invention. 本発明の2つの異なる実施例の概略図の1つである。Figure 2 is one of the schematic views of two different embodiments of the present invention. 直列型(tandem-type)の処理チャンバに適用される革新的なメインフレーム・システムの実施例を示す。An embodiment of an innovative mainframe system applied to a tandem-type processing chamber is shown. 異なる処理チャンバの組合せを有する革新的なメインフレームのさらにもう一つの実施例を示す。Figure 7 shows yet another embodiment of an innovative mainframe having a combination of different processing chambers. 異なるタイプの処理チャンバが線形搬送チャンバに取り付けられるもう1つの実施例を示す。Figure 3 shows another embodiment in which different types of processing chambers are attached to a linear transfer chamber. 革新的なメインフレームが、基板の高いスループット処理のために利用される別の実施例を示す。Fig. 4 illustrates another embodiment in which an innovative mainframe is utilized for high throughput processing of substrates. 2つの線形搬送システムが垂直に積み重ねられたものである実施例を例示する。Illustrates an embodiment in which two linear transport systems are stacked vertically. 誘発された電流がロボットアームへ機動力を供給するために用られる革新的なメインフレーム・システムの実施例を例示する。3 illustrates an example of an innovative mainframe system in which induced current is used to power a robot arm.

ここで図1を参照すると、現在一般に使用されているタイプのクラスタツールが示されている。一般に、このクラスタツールは、中央チャンバ22を取り囲むように放射状に配設され取り付けられた処理チャンバ21を備える。本システムには、2つの中央チャンバが存在する。単一の中央チャンバしか有さないチャンバであってもよい。扱いづらさを無視すれば、3つ以上の中央チャンバを有するシステムが存在する可能性もあるが、ユーザは一般に、別のシステムを選択することになるであろう。動作において、典型的には各中央チャンバ22内にロボットが配置される。ロボットは、ウェーハをシステム内に受け、中央チャンバから処理チャンバにウェーハを搬送し、処理が行われた後はウェーハを中央チャンバに戻す。   Referring now to FIG. 1, a cluster tool of the type commonly used today is shown. In general, the cluster tool includes processing chambers 21 that are radially disposed and attached to surround a central chamber 22. There are two central chambers in the system. It may be a chamber having only a single central chamber. If neglected, there may be systems with more than two central chambers, but the user will generally choose another system. In operation, a robot is typically placed in each central chamber 22. The robot receives the wafer into the system, transports the wafer from the central chamber to the processing chamber, and returns the wafer to the central chamber after processing is performed.

いくつかの従来技術のシステムでは、中央ロボットは、一時に1つのウェーハ及び1つのチャンバにしかアクセスすることができない。したがって、ウェーハが単一のチャンバ内にあり、関連する処理が行われている間に、ロボットが手一杯となり又はビジー状態となる可能性がある。ロボットが1つしか存在しないことと、そのようなロボットが処理中に処理ステーションに拘束されることにより、このタイプのクラスタツールのスループットが制限されている。より近代的なユニットでは、マルチアーム式のロボティクスが使用される。   In some prior art systems, the central robot can only access one wafer and one chamber at a time. Thus, the robot can become full or busy while the wafer is in a single chamber and the associated process is being performed. The throughput of this type of cluster tool is limited by the fact that there is only one robot and that such robot is constrained to a processing station during processing. More modern units use multi-arm robotics.

処理チャンバは、任意の形のプロセッサを備えることができ、例えば物理気相成長用チャンバ、化学気相成長(CVD)用チャンバ、エッチング用チャンバ、ウェーハ製造中にウェーハ上で実施される他の処理用のチャンバ等を含むことができる。このタイプのツールによれば、処理時間を異ならせることができる。それは、ウェーハが処理される際において、ロボットアームによるチャンバへの搬送、及びチャンバからのウェーハの取り出しは、他の要因とは独立して行われ、コンピュータ制御されるためである。言うまでもなく、処理は、同じ時間及び定義された順序に設定することができる。   The processing chamber may comprise any form of processor, such as a physical vapor deposition chamber, a chemical vapor deposition (CVD) chamber, an etching chamber, or other processes performed on the wafer during wafer fabrication. Chambers and the like. With this type of tool, the processing time can be varied. This is because when a wafer is processed, transfer to the chamber by the robot arm and removal of the wafer from the chamber are performed independently of other factors and are computer controlled. Needless to say, the processing can be set at the same time and in a defined order.

次に図2を参照すると、チャンバ内のウェーハ滞留時間が各チャンバ毎に同一となるウェーハ処理ツールが示されている。本実施形態では、プロセッサ23が直線状に並べられており、また、本例の各チャンバは、互いに隣接して且つ1つの上に他のものが重なり合うように配置されている。これらチャンバの端部には、処理対象となるウェーハを一方のレベルから他方のレベルに移動させるエレベータが存在する。ウェーハは、入口26から入り、支持部上に配置される。ウェーハは、システム内を移動するときにこの支持部上に留まる。本システムの一実施形態では、ウェーハが支持部によってプロセッサの上位レベルに上昇された後、ウェーハは、当該レベルにおいて処理チャンバ23内を次々に連続的に移動する。エレベータ25は、ウェーハのレベルを変更し、その後他方のレベルに沿って移動し、再びある処理チャンバから次の処理チャンバへ、以下同様に移動した後、システム外部へと移動する。   Referring now to FIG. 2, a wafer processing tool is shown in which the wafer residence time in the chamber is the same for each chamber. In the present embodiment, the processors 23 are arranged in a straight line, and the chambers of this example are arranged adjacent to each other and on top of each other so as to overlap each other. At the ends of these chambers, there is an elevator that moves the wafer to be processed from one level to the other. The wafer enters from the inlet 26 and is placed on the support. The wafer remains on this support as it moves through the system. In one embodiment of the system, after the wafer has been raised to the upper level of the processor by the support, the wafer moves continuously through the processing chamber 23 at that level. The elevator 25 changes the level of the wafer, then moves along the other level, moves again from one processing chamber to the next, and so on, and then moves out of the system.

次に図3を参照すると、処理チャンバ31が、搬送チャンバ32に沿って直線状に配置されている。ウェーハは、EFEM(Equipment Front End Module:機器フロントエンドモジュール)33又はこれと等価な何らかのフィード装置を介してシステム34内に入る。EFEM 33は、その上部にFOUP(from front opening unified pod:前開き一体型ポッド)を設置することが可能なステーション30を備える。   Next, referring to FIG. 3, the processing chamber 31 is arranged linearly along the transfer chamber 32. The wafer enters the system 34 via an EFEM (Equipment Front End Module) 33 or some equivalent feed device. The EFEM 33 includes a station 30 in which a FOUP (from front opening unified pod) can be installed.

FOUP(図示せず)は、ウェーハが収容され、処理動作の待機中に清浄に保たれるハウジング又は筐体を備える。EFEM 33にはフィード機構も関連付けることができる。フィード機構は、ウェーハを処理のためにシステム内に配置し、処理が行われた後は、システムからウェーハを取り出して、ウェーハを一時的に収容するためのものである。EFEM 33上にはウェーハのFOUPが配置されており、そこでは、EFEM 33内のFOUPからウェーハを持ち上げ、該ウェーハをシステム内に入れるためにロードロックコンパートメント35内に搬入するブレードによって、ウェーハが1つずつ搬送される。   A FOUP (not shown) includes a housing or housing in which a wafer is received and kept clean while waiting for processing operations. The EFEM 33 can also be associated with a feed mechanism. The feed mechanism is for placing the wafer in the system for processing, removing the wafer from the system after processing, and temporarily storing the wafer. Located on the EFEM 33 is a wafer FOUP where the wafer is lifted from the FOUP in the EFEM 33 and loaded into the load lock compartment 35 to place the wafer into the system. It is conveyed one by one.

ウェーハは、ロードロックコンパートメント35から搬送チャンバ32に沿って移動し、搬送チャンバ32から処理チャンバ31内へと搬送される。基板は、処理チャンバ内に入った後、支持アームから離れ、その代わりにチャンバ内の基板支持体上に置かれる。この時点で、処理チャンバの雰囲気と搬送チャンバの雰囲気とを分離するためにバルブが閉じられる。これにより、搬送チャンバ又は他の処理チャンバを汚染することなく処理チャンバ内部に変更を加えることが可能となる。処理が行われた後は、処理チャンバと搬送チャンバとを分離していたバルブが開き、ウェーハが処理チャンバから取り出され、追加的な処理を行う場合は搬送チャンバ32に沿って別の処理チャンバへと搬送され、あるいはロードロックへと搬送され、当該ロードロックからEFEM 33上のFOUPに戻される。図3には、4つの処理チャンバ31が示されている。   The wafer moves from the load lock compartment 35 along the transfer chamber 32 and is transferred from the transfer chamber 32 into the processing chamber 31. After entering the processing chamber, the substrate leaves the support arm and is instead placed on the substrate support in the chamber. At this point, the valve is closed to separate the atmosphere in the processing chamber and the atmosphere in the transfer chamber. This allows changes to be made inside the processing chamber without contaminating the transfer chamber or other processing chambers. After processing is performed, the valve that separates the processing chamber and the transfer chamber is opened, and the wafer is removed from the processing chamber and transferred to another processing chamber along the transfer chamber 32 for additional processing. Or is transferred to the load lock and returned from the load lock to the FOUP on the EFEM 33. In FIG. 3, four processing chambers 31 are shown.

図3には、4つの処理電源37と、配電ユニット36も示されている。これらを組み合わせてシステムのエレクトロニクスが提供され、個々の各処理チャンバに電力が供給される。処理チャンバ31上には、プロセスガスキャビネット38と、情報処理キャビネット40とが存在する。これらのユニットを利用して、システムに入力された情報によって搬送チャンバ32に沿った基板の移動が制御され、基板がさらなる処理のために処理チャンバ内に搬送されるかどうかが制御される。これらのユニットは、処理チャンバ内で発生した事象に関する記録も提供する。チャンバ内の処理中に使用されるガスが供給される。ここでは、システム内及びシステム内の各処理ステーション間でウェーハをフィードするロボット操作機構が2アームシステムとして説明されているが、実際には、3本以上のアームが存在してもよく、それぞれのアームが搬送移動チャンバ内で独立して移動するように設定することも、一緒に移動するように設定することも可能である。   FIG. 3 also shows four processing power sources 37 and a power distribution unit 36. These are combined to provide the system electronics and provide power to each individual processing chamber. A process gas cabinet 38 and an information processing cabinet 40 exist on the processing chamber 31. Utilizing these units, information input to the system controls the movement of the substrate along the transfer chamber 32 and controls whether the substrate is transferred into the processing chamber for further processing. These units also provide a record of events that have occurred in the processing chamber. Gas used during processing in the chamber is supplied. Here, a robot operation mechanism for feeding a wafer between the system and each processing station in the system is described as a two-arm system. However, in reality, three or more arms may exist, The arms can be set to move independently within the transfer movement chamber or can be set to move together.

システム内の処理チャンバは、ウェーハ製造時の希望に応じて様々な処理を実施することができる。今日、多くの製造業者は、システム全体がスパッタリング又はエッチング処理に専念する専用システムを購入している。本質的に、ウェーハ製造では、4段以上のシステム全体がスパッタリング処理に専念するのに十分な程度のスパッタリング工程又はエッチング工程が存在する。
一方、ウェーハは、それぞれ最終プロセスに至るまでに必要とされる一連の様々な処理を経て搬送され得る。例えば、5処理ステーションでは、使用時に以下の順序で処理が行われ得ることが十分想定される。第1処理ステーションでは、ウェーハを脱ガス処理に掛け、第2処理ステーションを前洗浄ステーションとし、第3処理ステーションを例えばチタンを堆積させるスパッタリングステーションとし、第4処理ステーションを例えばニッケルバナジウムを堆積させるスパッタステーションとし、第5処理ステーションでは、金をスパッタ堆積させることが可能である。
The processing chamber in the system can perform various processes as desired during wafer manufacturing. Today, many manufacturers purchase dedicated systems where the entire system is dedicated to sputtering or etching processes. In essence, there is a sufficient degree of sputtering or etching in wafer manufacturing that the entire system of four or more stages is dedicated to the sputtering process.
On the other hand, each wafer can be transported through a series of various processes required to reach the final process. For example, in the case of 5 processing stations, it is sufficiently assumed that the processing can be performed in the following order at the time of use. In the first processing station, the wafer is subjected to a degassing process, the second processing station is a pre-cleaning station, the third processing station is a sputtering station for depositing, for example, titanium, and the fourth processing station is a sputtering station for depositing, for example, nickel vanadium. In the fifth processing station, gold can be sputter deposited.

次に図4を参照すると、上蓋を取り除いた状態の3ステーションシステムが示されている。図4を示す目的は、搬送チャンバ32の理解を高めることにある。処理対象となるウェーハは、ロードロック35側から本システム内に入る。ロードロック35は、デュアルレベルロードロックであり、2つのウェーハを同時に処理することができる。一方は下位レベル上、他方は上位レベル上にある。ロードロック側からシステム内に入ったウェーハは、真空又は制御された環境内に入る。また、処理が済んだウェーハは、それらが本システム及び本システム内の真空又は他の制御された状態から離脱するように移動する間、ロードロック35を通過し、FOUP(図4では省略)内に戻る。
非真空状態から真空状態への移行が完了すると、ウェーハがアーム41上に持ち上げられ、アーム41が搬送チャンバ32内に移動する。図4では、そのようなアームの一方を確認することができ、他方のアームは、第1処理チャンバの左側の要素で部分的に覆われている。図4には、全体が確認できる方のアームがウェーハを処理チャンバ31内に配送する様子(あるいは、当該チャンバから処理済みのウェーハを取り出す様子)が示されている。アーム41は、搬送チャンバ内に沿って直線レール43上を移動する。本実施形態では、搬送チャンバ32内のレールは、支持アーム41をチャンバ32の床面上方に保持する。また、図4には示していないが、真空の外部からチャンバ32の筐体壁部を介して作用する駆動機構が存在する。この駆動機構は、アーム41をチャンバ内又はロードロック35内まで延ばすことが望まれる場合に、アーム41のほぼ直線運動ならびに回転運動を可能にする。
Referring now to FIG. 4, a three station system is shown with the top cover removed. The purpose of FIG. 4 is to enhance understanding of the transfer chamber 32. A wafer to be processed enters the system from the load lock 35 side. The load lock 35 is a dual level load lock and can process two wafers simultaneously. One is on the lower level and the other is on the upper level. Wafers that enter the system from the loadlock side enter a vacuum or controlled environment. Also, the processed wafers pass through the load lock 35 and move into the FOUP (not shown in FIG. 4) while they move away from the system and the vacuum or other controlled state within the system. Return to.
When the transition from the non-vacuum state to the vacuum state is completed, the wafer is lifted onto the arm 41 and the arm 41 moves into the transfer chamber 32. In FIG. 4, one such arm can be seen, the other arm being partially covered by the element on the left side of the first processing chamber. FIG. 4 shows a state in which the arm that can be entirely confirmed delivers a wafer into the processing chamber 31 (or a state in which a processed wafer is taken out from the chamber). The arm 41 moves on the straight rail 43 along the transfer chamber. In this embodiment, the rail in the transfer chamber 32 holds the support arm 41 above the floor surface of the chamber 32. Although not shown in FIG. 4, there is a drive mechanism that operates from the outside of the vacuum via the housing wall of the chamber 32. This drive mechanism allows for approximately linear as well as rotational movement of the arm 41 when it is desired to extend the arm 41 into the chamber or into the load lock 35.

したがって、アームは、搬送チャンバ32の内外、処理チャンバ31の内外、又はロードロックチャンバ35の内外にウェーハを移動させるのに使用される。このようなチャンバの基部との接触を回避することにより、パーティクルの発生が抑えられ、その結果、環境をより清浄に保ち、又はパーティクルフリー状態を維持することが可能となる。
以下では、後続の図面を参照して、本搬送システムのさらなる詳細について論じる。また、図4には2本のアームが示されているが、システムは、レール上に3本以上のアームを有することも2本未満のアームを有することもでき、また、任意の時点で3つ以上のウェーハ搬送装置を取り扱うことができることも容易に理解されるであろう。
Therefore, the arm is used to move the wafer in and out of the transfer chamber 32, in and out of the processing chamber 31, or in and out of the load lock chamber 35. By avoiding such contact with the base of the chamber, the generation of particles can be suppressed, and as a result, the environment can be kept cleaner or a particle-free state can be maintained.
In the following, further details of the transport system will be discussed with reference to the subsequent figures. Also, although two arms are shown in FIG. 4, the system can have more or less than three arms on the rail, and 3 at any given time. It will also be readily appreciated that more than one wafer transfer device can be handled.

本発明の方法によれば、支持アーム41は、ウェーハが直線内だけを移動されるように、回転運動と直線運動の組合せを使用して操作される。即ち、図4に示されるように、アーム41は、双頭矢印Aで例示される直線運動と、双頭矢印Bで例示される回転運動との組合せを使用して移動される。ただし、アーム41の動きは、ウェーハの中心が破線BLl、BLm、及びBLで示した直線運動に追従するようにプログラムされる。これにより、チャンバ31及びロードロック35のあらゆる開口を、チャンバの直径を僅かに上回る直径にすることが可能となる。また、アーム41の直線運動と円弧運動の組合せは、あらゆる状況に応じて、例えばユーザインターフェースUI(図3)を介してプログラム可能なコントローラによって作動されるため、任意のタイプ及び任意の組合せのチャンバを搬送チャンバ32上に取り付けることも可能となる。   In accordance with the method of the present invention, the support arm 41 is operated using a combination of rotational and linear motion so that the wafer is moved only in a straight line. That is, as shown in FIG. 4, the arm 41 is moved using a combination of a linear motion exemplified by the double-headed arrow A and a rotational motion exemplified by the double-headed arrow B. However, the movement of the arm 41 is programmed so that the center of the wafer follows the linear motion indicated by the dashed lines BLl, BLm and BL. This allows any opening in the chamber 31 and load lock 35 to have a diameter that is slightly greater than the chamber diameter. Also, the combination of linear motion and arc motion of the arm 41 is actuated by a programmable controller, for example via the user interface UI (FIG. 3), according to any situation, so that any type and any combination of chambers Can also be mounted on the transfer chamber 32.

本発明の方法によれば、コントローラによって実行されるアームの直線運動と円弧運動の組合せを計算するために、以下の処理が実施される。ウェーハがロードロック内に配置されているときのウェーハの中心位置が判定される。ウェーハが付属の各処理チャンバ内に配置されているときのウェーハの中心が判定される。各アームの旋回点が判定される(後述するように、いくつかの実施形態では両方のアームの旋回点を一致させることができることに留意されたい)。搬送順序、即ち各ウェーハがロードロックと単一のチャンバとの間を移動する必要があるのか、それともロードロックと複数のチャンバとの間を移動する必要があるのかが判定される。これらの値は、UIを使用してコントローラ内でプログラムすることができる。次いで、各アーム上に配置されたウェーハが、判定された旋回点とロードロック及び各チャンバについて判定された中心との間の直線内だけを移動するように、各アームの直線運動及び回転運動が計算される。   In accordance with the method of the present invention, the following processing is performed to calculate the combination of linear and circular motion of the arm performed by the controller. The center position of the wafer when the wafer is placed in the load lock is determined. The center of the wafer is determined when the wafer is placed in each attached processing chamber. The pivot point of each arm is determined (note that the pivot points of both arms can be matched in some embodiments, as described below). A transfer sequence is determined, ie whether each wafer needs to move between the load lock and a single chamber or between the load lock and multiple chambers. These values can be programmed in the controller using the UI. The linear and rotational motion of each arm is then moved so that the wafers placed on each arm move only within a straight line between the determined pivot point and the center determined for the load lock and each chamber. Calculated.

一実施形態では、部分的に、アーム41の直線運動と円弧運動との組合せを簡略化するために、本発明の以下の特徴が実装される。図4では、支持アーム41の一方、具体的には図4で完全に露出しているアーム41は、アーム延長部41’に結合され、他方のアーム41は、内部の駆動支持機構45(図5及び図6も参照されたい)に直接結合されている。図示の実施形態では、アーム延長部41’は、固定されており、即ち、駆動支持機構45の直線運動に追従するだけで、回転することはできないようになっている。言い換えれば、回転運動は、アーム延長部41’の端部に固着されたアーム41にのみもたらされる。また、図示の実施形態では、アーム延長部41’は、両方のアーム41の回転中心すなわち旋回中心点の中心を一致させることができるように、即ち、図示のように、直線破線BLmが両方のアーム41の回転点又は旋回点の中心を通過するように固着されている。さらに、図5の実施形態に示されるように、アーム41は、両方のアーム41の回転の中心が上下に正確に一致するように直線方向に移動させることができる。このような設計を用いると、2本のアーム41が同一の旋回点中心線から同一の直線運動と円弧運動との組合せに追従することになるので、それらのアーム41を同一の形で製作することが可能となる。   In one embodiment, in part, the following features of the present invention are implemented to simplify the combination of linear and arc motion of arm 41. In FIG. 4, one of the support arms 41, specifically, the arm 41 that is completely exposed in FIG. 4, is coupled to the arm extension 41 ′, and the other arm 41 is connected to the internal drive support mechanism 45 (see FIG. 4). 5 and also see FIG. 6). In the illustrated embodiment, the arm extension 41 ′ is fixed, that is, only follows the linear motion of the drive support mechanism 45, and cannot rotate. In other words, the rotational movement is only effected on the arm 41 secured to the end of the arm extension 41 '. Further, in the illustrated embodiment, the arm extension 41 ′ is configured so that the center of rotation of both arms 41, that is, the center of the turning center point can coincide with each other, that is, as illustrated, the straight broken line BLm is The arm 41 is fixed so as to pass through the center of the rotation point or pivot point. Furthermore, as shown in the embodiment of FIG. 5, the arm 41 can be moved in a linear direction so that the centers of rotation of both arms 41 are exactly aligned vertically. When such a design is used, the two arms 41 follow the combination of the same linear motion and arc motion from the same turning point center line, so that the arms 41 are manufactured in the same shape. It becomes possible.

次に図5を参照すると、内部要素を封鎖する蓋を取り除いた状態のシステム34の各部分、即ち、ロードロック35を始点とし、搬送チャンバ32の先頭部へと続き、第1の処理チャンバ31を含めた各部分が示されている。図5には、ロードロック35内のウェーハ42がアーム41上に置かれた様子が示されている。別のアーム41は、処理チャンバ31内に延びた形で示されている。図示のとおり、独立して働き、異なるレベルに所在し得る各アーム41は、それぞれ同時に異なる領域に入るように延ばすこともできる。各アームは、ウェーハを搬送チャンバ32に沿ってロードロックからシステム34内に移動させ、その後、システム34の周囲の処理チャンバから処理チャンバへと移動させる。   Referring now to FIG. 5, each part of the system 34 with the lid sealing the internal elements removed, i.e., the load lock 35, continues to the beginning of the transfer chamber 32 and continues to the first processing chamber 31. Each part including is shown. FIG. 5 shows a state in which the wafer 42 in the load lock 35 is placed on the arm 41. Another arm 41 is shown extending into the processing chamber 31. As shown, each arm 41 that works independently and can be located at different levels can be extended to enter different areas simultaneously. Each arm moves the wafer along the transfer chamber 32 from the load lock into the system 34 and then from the processing chamber around the system 34 to the processing chamber.

最終的に、各アームは、各ウェーハの処理が行われた後、それらを搬送チャンバに沿ってロードロック35内に移動させ、その後システム34外部に移動させる。処理が完了したときは、ウェーハをロードロックから処理済みのウェーハが回収されるFOUP内に戻すことができる。ロードロック又は処理チャンバ内のウェーハは、それ自体をアーム41と関連付けられた支持体表面上まで持ち上げることによって搬送される。支持体表面のリフトピンがウェーハを上昇させることにより、アームがウェーハの下方に進入することが可能となり、その結果、アームによってウェーハを持ち上げ、ウェーハをシステム内の次の工程に移動させることが可能となる。   Finally, after each wafer is processed, each arm moves them into the load lock 35 along the transfer chamber and then moves outside the system 34. When processing is complete, the wafer can be returned from the load lock into the FOUP where the processed wafer is collected. The wafer in the load lock or processing chamber is transported by lifting itself onto the support surface associated with arm 41. Lift pins on the support surface raise the wafer, allowing the arm to enter the lower part of the wafer, so that the arm can lift the wafer and move the wafer to the next step in the system. Become.

別法として、ウェーハの下方にスライドし、ウェーハを搬送中に支持する棚としての性質を有する構造を利用して、チャンバ又はコンパートメントからウェーハが持ち出されるとき又は取り出されるときに、ウェーハを支持し保持することができ、また、ウェーハをアームから受け取り、アームから離すことができる。各アームは、接触することなく互いの上下を通過するように配置され、互いにすれ違うことができる。   Alternatively, slide down the wafer and use a structure that acts as a shelf to support the wafer during transport, supporting and holding the wafer when it is taken out or taken out of the chamber or compartment The wafer can be received from the arm and released from the arm. Each arm is arranged to pass above and below each other without contact, and can pass each other.

各アームは、内部の駆動支持機構45に連結されている。駆動支持機構45には直線駆動トラック(linear drive track)が設けられており、駆動支持機構45は、この直線駆動トラックに沿って搬送チャンバ32内を移動する。駆動支持機構45の動作は、モータ等の外部駆動源によって引き起こされる。ある形態の駆動源は、駆動支持機構45を駆動トラック46に沿って直線移動させる。別の形態の駆動源は、ウェーハ42をシステム内に移動させシステム内を通過させる過程で、各アーム41が搬送チャンバ32からロードロック35又は処理チャンバ31内に延びるようにアーム41を回転させる。駆動トラック46の内部には、各駆動支持機構がその上に独立して乗せられる個々のレール47(詳細は図6に示される)が存在し、それにより、各アーム41が互いに独立して移動し作用するように配置することが可能となる。処理チャンバ内へのウェーハの移動は、その性質上、直線駆動経路からチャンバ内への平行移動となる。というのも、好ましい実施形態では、ウェーハが2つの運動形態を同時に経験するためである。ウェーハは、直線移動すると同時に回転する。外部モータ又は他の形態の駆動機構を使用して前記の機構を搬送チャンバ32の真空中で駆動することにより、密閉された真空領域内の望ましくないパーティクルが少なくなる。   Each arm is connected to an internal drive support mechanism 45. The drive support mechanism 45 is provided with a linear drive track, and the drive support mechanism 45 moves in the transport chamber 32 along the linear drive track. The operation of the drive support mechanism 45 is caused by an external drive source such as a motor. One form of drive source causes the drive support mechanism 45 to move linearly along the drive track 46. Another form of driving source rotates the arms 41 such that each arm 41 extends from the transfer chamber 32 into the load lock 35 or the processing chamber 31 in the process of moving the wafer 42 into and passing through the system. Inside the drive track 46 is an individual rail 47 (details are shown in FIG. 6) on which each drive support mechanism rests independently so that each arm 41 moves independently of each other. It can be arranged to act. The movement of the wafer into the processing chamber results in a parallel movement from the linear drive path into the chamber. This is because, in the preferred embodiment, the wafer experiences two modes of motion simultaneously. The wafer rotates as it moves linearly. By using an external motor or other form of drive mechanism to drive the mechanism in the vacuum of the transfer chamber 32, undesirable particles in the sealed vacuum region are reduced.

次に図6を参照すると、本発明の好ましい実施形態で利用される駆動システムが示されている。図6では、駆動トラック46の各レール47を独立して確認することができる。本図では、一方の支持アーム41上にウェーハ42が示されている。本図では、他方の支持アームは、単に伸びただけの状態を示されている。駆動支持機構45は、それぞれレール47のうちの1つに乗せられる。これにより、アーム41は、様々なレベルに容易に配置される。各駆動支持機構45の基部には、磁気ヘッド又は磁気結合フォロワ(magnetically‐coupled follower)48が配置されている。   Referring now to FIG. 6, the drive system utilized in the preferred embodiment of the present invention is shown. In FIG. 6, each rail 47 of the drive track 46 can be independently confirmed. In this figure, a wafer 42 is shown on one support arm 41. In this figure, the other support arm is shown in a state where it is simply extended. Each of the drive support mechanisms 45 is placed on one of the rails 47. Thereby, the arm 41 is easily arranged at various levels. A magnetic head or a magnetically coupled follower 48 is disposed at the base of each drive support mechanism 45.

磁気ヘッド48から離れた位置に、磁気駆動源50が配置されている。磁気ヘッド48は、搬送チャンバの真空中に配置され、真空チャンバの壁(図7Aの53)は、各磁気ヘッド48の下方、及び磁気ヘッド48と駆動源50との間を通る。したがって、駆動源50は、搬送チャンバ32の真空壁の外部にある。上述のとおり、アーム41は、ウェーハ42を処理システム内に移動させ処理システム内を通過させるものであり、互いに独立して移動する。これらのアーム41は、駆動源50及び磁気ヘッド48を備える磁気カプラ装置によって駆動される。このカプラは、アーム41に対して直線運動と回転運動の両方をもたらす。駆動源50は、真空の外部に位置し、レールシステムの両側に現れる外側レール51上に乗せられる。1つのレールセットが、反対側に現れる別のレールセットと対向関係をもって示されている。アームの回転は、磁気カプラを介して伝達され、回転モータ52によって駆動される。図6では、磁気結合が直線運動及び回転に使用されるものとして示されているが、別個の磁気カプラ及び駆動源を使用することもできることが容易に理解されるであろう。したがって、直線運動及び回転運動は同一のカプラを介して伝達されることが好ましいが、直線運動用と回転運動用に別個のカプラを使用することも可能である。   A magnetic drive source 50 is disposed at a position away from the magnetic head 48. The magnetic head 48 is placed in the vacuum of the transfer chamber, and the vacuum chamber wall (53 in FIG. 7A) passes below each magnetic head 48 and between the magnetic head 48 and the drive source 50. Therefore, the drive source 50 is outside the vacuum wall of the transfer chamber 32. As described above, the arm 41 moves the wafer 42 into the processing system and passes it through the processing system, and moves independently of each other. These arms 41 are driven by a magnetic coupler device including a drive source 50 and a magnetic head 48. This coupler provides both linear and rotational movement with respect to the arm 41. The drive source 50 is placed on the outer rail 51 that appears outside the vacuum and appears on both sides of the rail system. One rail set is shown in opposing relationship with another rail set appearing on the opposite side. The rotation of the arm is transmitted through the magnetic coupler and is driven by the rotary motor 52. In FIG. 6, although magnetic coupling is shown as being used for linear motion and rotation, it will be readily appreciated that separate magnetic couplers and drive sources can also be used. Thus, although linear and rotational motion is preferably transmitted through the same coupler, it is possible to use separate couplers for linear and rotational motion.

処理ステーション31における停止動作を含めたウェーハの移動及び操作に使用され得る1つのタイプのアームは、略してSCARAロボットとも呼ばれる選択的コンプライアンス多関節組立ロボットアーム(selective compliant articulated assembly robotic arm)として説明される。SCARAシステムは、それ自体と置き換えられる可能性が高いカルテシアンシステムよりも高速且つ清浄である傾向がある。   One type of arm that can be used for wafer movement and manipulation, including stop motion at the processing station 31, is described as a selectively compliant articulated robotic arm, also referred to as SCARA robot for short. The SCARA systems tend to be faster and cleaner than Cartesian systems that are likely to be replaced by themselves.

また、磁気駆動システムに関連する負荷要因の減少及び/又は解消のために、運動結合磁石(motion coupling magnet)によって生み出される引力を減少させる反発磁石を含めることもできる。回転運動及び直線運動を真空に結合する磁石は、かなりの引力を有する。これが、部品を支持する機械機構の負荷となる。負荷が高くなると、ベアリングの寿命が短くなり、より多くのパーティクルが発生する。磁気カプラ又は別個の装置内に配置された互いに反発し合う磁石を使用することにより、引力を減少させることが可能となる。実際には、磁気カプラ内の最も内側にある磁石は、さほど高い結合剛性を達成しない。しかしながら、それらの内側の磁石は、カプラの直径の周りに交互に存在するNS極位置に配置され、互いに引き合って使用される結合磁石との斥力を生み出すのに使用することができる。   A repulsion magnet that reduces the attractive force generated by the motion coupling magnet may also be included to reduce and / or eliminate load factors associated with the magnetic drive system. Magnets that couple rotational and linear motion to a vacuum have a considerable attractive force. This is a load on the mechanical mechanism that supports the component. As the load increases, the life of the bearing is shortened and more particles are generated. By using repulsive magnets arranged in a magnetic coupler or separate device, the attractive force can be reduced. In practice, the innermost magnet in the magnetic coupler does not achieve a very high coupling stiffness. However, their inner magnets can be used to create repulsive forces with coupled magnets that are placed at NS pole locations that alternate around the diameter of the coupler and are used to attract each other.

言うまでもなく、密閉チャンバ内にパーティクルダストが入る心配がなければ、駆動機構を密閉チャンバ内に含めることができることを理解されたい。   Of course, it should be understood that a drive mechanism can be included in the sealed chamber if there is no concern of particle dust entering the sealed chamber.

次に図7Aを参照すると、蓋を取り除いた状態のトラック駆動システムの側面図が示されている。図7Aでは、真空壁又は真空隔壁53が、アーム41の駆動及び位置制御を行う磁気カプラ48と磁気カプラ50の間の位置に配置されている。駆動トラック46には、外側レール51によってもたらされる直線運動を駆動支持機構45及びアーム41に提供するレール47が収容されている。回転運動は、回転モータ52によってもたらされる。図7Aでは、Vaで示した側が真空中、ATで示した側が雰囲気中となっている。図7Aに示すように、磁気カプラ50は、回転モータ52によって駆動され、真空隔壁53を通じた磁気結合により、磁気カプラ48が同じ回転動作をするように仕向ける。一方、磁気結合のヒステリシスが生じることにより、アームの回転運動の正確さが低下する可能性がある。   Referring now to FIG. 7A, a side view of the track drive system with the lid removed is shown. In FIG. 7A, the vacuum wall or vacuum partition 53 is disposed at a position between the magnetic coupler 48 and the magnetic coupler 50 that drive and control the position of the arm 41. The drive track 46 houses a rail 47 that provides linear motion provided by the outer rail 51 to the drive support mechanism 45 and the arm 41. Rotational motion is provided by a rotary motor 52. In FIG. 7A, the side indicated by Va is in a vacuum, and the side indicated by AT is in an atmosphere. As shown in FIG. 7A, the magnetic coupler 50 is driven by a rotary motor 52 and directs the magnetic coupler 48 to perform the same rotational operation by magnetic coupling through the vacuum partition 53. On the other hand, the magnetic coupling hysteresis may cause the accuracy of the rotational motion of the arm to decrease.

実際には、アームの長さが原因で、カプラ48とカプラ50の間の小さい角度誤差が、アーム41の端部に置かれたウェーハを大きく変位させることもある。また、アームの長さ及び重さが原因で、また、アームがウェーハを支持しているのか否かに応じた重さの変化が原因で、過渡運動が許容できない時間にわたって持続することもある。これらの問題を回避するために、磁気カプラ48と回転カプラ56又はアーム41との間に減速ギア(減速機又はギア減速機と呼ばれることもある)55が介装されている。減速器は、動力によりロボットアームに与えられる作動速度を減らすためのものである。ギア減速機55には、磁気カプラ48の回転が入力され、ギア減速機55からは、モータ52の回転速度よりも低い回転速度でアーム41を作動させるために、より遅い回転速度の出力が得られる。この具体例では、ギア減速機55の減速比は、50:1に設定される。これにより、アーム41の角度配置の正確さが大幅に向上し、過渡運動が大幅に減少するとともに、駆動アセンブリ技術の慣性モーメントも大幅に減少する。   In practice, due to the length of the arm, a small angular error between the coupler 48 and the coupler 50 may greatly displace the wafer placed at the end of the arm 41. Also, transient motion may last for an unacceptable time due to the length and weight of the arm and due to the change in weight depending on whether the arm supports the wafer. In order to avoid these problems, a reduction gear (sometimes referred to as a reduction gear or a gear reduction gear) 55 is interposed between the magnetic coupler 48 and the rotary coupler 56 or the arm 41. The speed reducer is for reducing the operating speed given to the robot arm by power. The gear reducer 55 receives the rotation of the magnetic coupler 48, and the gear reducer 55 obtains a lower rotational speed output in order to operate the arm 41 at a rotational speed lower than the rotational speed of the motor 52. It is done. In this specific example, the reduction ratio of the gear reducer 55 is set to 50: 1. This greatly improves the accuracy of the angular arrangement of the arm 41, greatly reduces transient motion and greatly reduces the moment of inertia of the drive assembly technology.

図7Aでは、減速ギアアセンブリ55は、基部49上に載置されている。基部49は、非モータ駆動式(unmotorized)であり、直線レール47上に自由に乗せられる。一方、回転モータ52は、機械化動力を使用して直線レール51上に乗せられる基部54上に載置されている。機械化動力によって基部54が直線移動されるため、磁気カプラ50と磁気フォロワ48との間の磁気結合は、直線レール47上に自由に乗せられる基部49に直線動作をもたらし、それによってアーム41を直線移動させる。したがって、この装置は、すべてのモータ駆動運動(motorized motion)、即ち直線運動及び回転運動が雰囲気条件下で実行され、真空環境内にモータ駆動システムが存在しない点で有利である。以下では例示として、雰囲気中のモータ駆動運動及び真空中の自由な非モータ駆動運動に関する様々な実施形態について説明する。   In FIG. 7A, the reduction gear assembly 55 is mounted on the base 49. The base 49 is non-motorized and can be freely placed on the straight rail 47. On the other hand, the rotary motor 52 is mounted on a base 54 that is placed on the straight rail 51 using mechanized power. Since the base 54 is moved linearly by the mechanized power, the magnetic coupling between the magnetic coupler 50 and the magnetic follower 48 provides a linear motion to the base 49 that is freely mounted on the linear rail 47, thereby causing the arm 41 to move linearly. Move. This device is therefore advantageous in that all motorized motion, i.e. linear motion and rotational motion, is performed under ambient conditions and there is no motor drive system in the vacuum environment. In the following, various embodiments relating to motor driven movement in atmosphere and free non-motor driven movement in vacuum will be described by way of example.

図7Bは、直線運動アセンブリの一例を示している。図7Bでは、基部54にベルト又はチェーン駆動源が結合されている。ベルト又はチェーン58は、回転体59上に乗せられており、矢印Cで示されるように、回転体59のうちの1つは、両方向の動きが可能となるようにモータ駆動化されている。直線運動を制御するために、エンコーダ57aは、基部54の直線運動を識別する信号をコントローラに送信する。例えば、エンコーダ57aは、直線トラック46上で提供されるエンコーディングを読み込む光エンコーダであってもよい。また、モータ52上には回転式エンコーダ47bが設けられており、回転式エンコーダ47bは、回転運動のエンコーディングをコントローラに送信する。回転運動及び直線運動に関するこれらの読み込みデータを使用して、ウェーハの中心線が直線内だけを移動するように、アーム41の回転運動及び直線運動を制御することができる。   FIG. 7B shows an example of a linear motion assembly. In FIG. 7B, a belt or chain drive source is coupled to the base 54. The belt or chain 58 is placed on a rotating body 59, and as indicated by an arrow C, one of the rotating bodies 59 is motor-driven so that it can move in both directions. In order to control the linear motion, the encoder 57a sends a signal identifying the linear motion of the base 54 to the controller. For example, the encoder 57a may be an optical encoder that reads the encoding provided on the linear track 46. Further, a rotary encoder 47b is provided on the motor 52, and the rotary encoder 47b transmits the encoding of the rotary motion to the controller. Using these read data for rotational and linear motion, the rotational and linear motion of arm 41 can be controlled so that the centerline of the wafer moves only within the straight line.

図7Cは、直線運動アセンブリの別の実施形態を示す、図4のA‐A線断面図である。図7Dでは、駆動トラック46が、レール47を支持しており、レール47上にはホイール61及び62が乗せられている。これらのホイールは、磁化させることによって牽引力を改善することができる。ホイール61及び62は、基部54に結合されており、基部54上には回転モータ52が載置されている。基部54の下部には、駆動トラック46上に載置された一連の磁石64と相互作用するリニアモータ63が取り付けられている。リニアモータ63は、磁石64と相互作用して、図面用紙の内外に向かう直線動力を基部54にもたらす。基部54の直線運動は、トラック46上で提供される位置/運動エンコーディング57cを読み込むエンコーダ57bによって監視され報告される。この具体例では、エンコーダ57bの精度は、5千分の一インチである。   7C is a cross-sectional view taken along line AA of FIG. 4 showing another embodiment of a linear motion assembly. In FIG. 7D, the drive track 46 supports the rail 47, and wheels 61 and 62 are placed on the rail 47. These wheels can improve traction by being magnetized. The wheels 61 and 62 are coupled to the base portion 54, and the rotary motor 52 is mounted on the base portion 54. A linear motor 63 that interacts with a series of magnets 64 mounted on the drive track 46 is attached to the lower portion of the base 54. The linear motor 63 interacts with the magnet 64 to bring the linear power toward the inside and outside of the drawing sheet to the base 54. The linear motion of the base 54 is monitored and reported by an encoder 57b that reads a position / motion encoding 57c provided on the track 46. In this specific example, the accuracy of the encoder 57b is 1 / 5,000th of an inch.

図7Dは、雰囲気中の直線トラック及び真空中の直線トラックの一例を示す断面図である。真空側、雰囲気側は、それらの間に介在する真空隔壁53及びチャンバ壁32によって分離されている。雰囲気側では、ライダー61が、直線トラック47上に乗せられている。こちらは雰囲気中にあるため、パーティクルの発生は、真空側ほど重要でない。したがって、ライダー61は、ホイールを含むことができ、あるいはフッ素樹脂等の摺動材料でできていてもよい。基部54は、スライダ61に取り付けられており、磁気カプラ50を回転させる回転モータを支持する。真空側では、直線トラック78が、カプラ72を介して基部70に取り付けられた摺動ベアリング73を受けるようになっている。直線トラック78は、ステンレス鋼で作成することができ、パーティクルの発生を最小限に抑えるように製作される。また、発生したパーティクルをベアリングアセンブリの範囲内に収めるために、蓋74及び76が設けられている。基部70は、ベアリングアセンブリを越えて延在し、磁気フォロワ48に結合されたギア減速機55を支持する。   FIG. 7D is a cross-sectional view illustrating an example of a straight track in an atmosphere and a straight track in a vacuum. The vacuum side and the atmosphere side are separated by a vacuum partition wall 53 and a chamber wall 32 interposed therebetween. On the atmosphere side, the rider 61 is placed on the straight track 47. Since this is in the atmosphere, the generation of particles is not as important as the vacuum side. Therefore, the rider 61 may include a wheel or may be made of a sliding material such as a fluororesin. The base 54 is attached to the slider 61 and supports a rotary motor that rotates the magnetic coupler 50. On the vacuum side, a linear track 78 is adapted to receive a sliding bearing 73 attached to the base 70 via a coupler 72. The straight track 78 can be made of stainless steel and is manufactured to minimize particle generation. In addition, lids 74 and 76 are provided to keep the generated particles within the bearing assembly. Base 70 extends beyond the bearing assembly and supports a gear reducer 55 coupled to magnetic follower 48.

図7Eは、雰囲気中の直線トラック及び真空中の直線トラックの別の一例を示している。図7Eでは、雰囲気側を図7Dのそれと同様に構築することができる。一方、真空側では、汚染を最小限に抑えるために摺動ベアリングの代わりに磁気浮上が利用される。図7Eに示すように、アクティブ状態の電磁アセンブリ80は、永久磁石82と協働して磁気浮上を形成し、基部70の自由な直線運動を可能にする。特に、永久磁石82は、自由空間84を維持し、電磁アセンブリ80と接触しないようになっている。基部54がスライダ61と共に直線移動するため、カプラ50とフォロワ48との間の磁気結合により、浮上された基部70の直線運動がもたらされる。同様に、カプラ50が回転することによってフォロワ48が回転し、この回転がギア減速機55に伝達される。   FIG. 7E shows another example of a straight track in the atmosphere and a straight track in vacuum. In FIG. 7E, the atmosphere side can be constructed similar to that of FIG. 7D. On the other hand, on the vacuum side, magnetic levitation is used instead of sliding bearings to minimize contamination. As shown in FIG. 7E, the electromagnetic assembly 80 in the active state cooperates with the permanent magnet 82 to form magnetic levitation, allowing free linear movement of the base 70. In particular, the permanent magnet 82 maintains the free space 84 and is not in contact with the electromagnetic assembly 80. As the base 54 moves linearly with the slider 61, the magnetic coupling between the coupler 50 and the follower 48 provides a linear motion of the levitated base 70. Similarly, the follower 48 is rotated by the rotation of the coupler 50, and this rotation is transmitted to the gear reducer 55.

次に図8を参照すると、本発明に係る処理システムが示されている。図3の場合と同様に、EFEM33は、処理チャンバ31を含むシステム34に渡されるウェーハを受け、収容するものである。本実施形態では、処理チャンバ31は、ウェーハを先ずロードロック35に搬送し、次いで搬送チャンバ32に沿ってウェーハを搬送することによってスパッタ堆積が行われるチャンバを例示するものである。次いで、処理済みのウェーハが搬送チャンバ32に沿ってロードロック35に、その後システムの外部へとフィードされ、EFEM 33に戻される。   Referring now to FIG. 8, a processing system according to the present invention is shown. As in the case of FIG. 3, the EFEM 33 receives and receives a wafer that is passed to a system 34 that includes a processing chamber 31. In the present embodiment, the processing chamber 31 exemplifies a chamber in which sputter deposition is performed by first transferring a wafer to the load lock 35 and then transferring the wafer along the transfer chamber 32. The processed wafer is then fed along the transfer chamber 32 to the load lock 35 and then to the outside of the system and returned to the EFEM 33.

次に図9を参照すると、本発明に係る8ステーション処理システムが示されている。EFEM 33は、ウェーハをロードロック35にフィードする。次いで、ウェーハは、搬送チャンバ32に沿って搬送チャンバ32から処理チャンバ31へと移動される。図9では、両方の搬送チャンバセットが中央領域内に配置され、その外側に各処理チャンバ31が配置されている。図10では、1つの処理チャンバセットが次の処理チャンバセットの複製となるように、すべての処理セクションが整列されている。したがって、このシステムの処理チャンバは、平行に整列して見える。   Referring now to FIG. 9, an eight station processing system according to the present invention is shown. The EFEM 33 feeds the wafer to the load lock 35. The wafer is then moved along the transfer chamber 32 from the transfer chamber 32 to the processing chamber 31. In FIG. 9, both transfer chamber sets are arranged in the central region, and the respective processing chambers 31 are arranged outside thereof. In FIG. 10, all processing sections are aligned so that one processing chamber set is a duplicate of the next processing chamber set. Thus, the processing chambers of this system appear to be aligned in parallel.

無論、他の変形形態も可能であり、容易に想到されるであろう。例えば、図9及び図10に示した形で処理チャンバを並べる代わりに、各セットを上下に配置することも、各セットを続けて配置することもできる。各セットが続けて並べられる場合には、各セットは、2番目のセットが1番目のセットの後に続いて1列に並ぶように、あるいは2番目のセットが1番目のセットと何らかの角度を成してセットされ得るように整列させることができる。搬送チャンバは、ウェーハを各側のチャンバにフィードすることができるため、単一の搬送チャンバの周囲に2組のプロセッサをセットすることができ、同一の搬送チャンバによってフィードすることができる(図11A参照。図11Aの各参照符号は、既出の図面に関して論じた要素と同様の要素を示している)。   Of course, other variations are possible and will be readily envisaged. For example, instead of arranging the processing chambers in the form shown in FIG. 9 and FIG. When each set is arranged in sequence, each set is arranged so that the second set is arranged in a row following the first set, or the second set forms an angle with the first set. And can be aligned so that they can be set. Since the transfer chamber can feed wafers to the chamber on each side, two sets of processors can be set around a single transfer chamber and can be fed by the same transfer chamber (FIG. 11A). Reference: Each reference number in FIG. 11A indicates elements similar to those discussed with respect to the previous drawings).

なお、図11A及び図11Bは、先に述べたように処理チャンバ31と搬送チャンバ32とがバルブ39によって分離された様子を示している)。2組目のプロセッサが1組目のプロセッサに連接される場合には、システムに沿って追加的なロードロックを配置することが有益である可能性もある。言うまでもなく、ウェーハが直線移動して一方側から入り他方側から出ることができるように、末端部分にEFEMを追加し、当該EFEMの手前にロードロックを配置することも可能である(図11B参照。図11Bの各参照符号は、既出の図面の要素と同様の要素を示している)。後者の場合では、ウェーハが一方側あるいは両側から出入りするようにプログラムすることが可能である。処理チャンバは、搬送チャンバに沿って不規則な間隔で配置すること、即ち処理チャンバ間にスペースを設けて配置することも可能である。この配列の重要な特徴は、搬送チャンバが所望の形で且つシステムに関するコンピュータ制御の指令に応じてウェーハを個々の処理チャンバにフィードすることができるように、各処理チャンバが配置されることである。   11A and 11B show a state in which the processing chamber 31 and the transfer chamber 32 are separated by the valve 39 as described above). If a second set of processors is connected to the first set of processors, it may be beneficial to place additional load locks along the system. Needless to say, it is also possible to add an EFEM to the end portion and place a load lock in front of the EFEM so that the wafer can move linearly and enter from one side (see FIG. 11B). Each reference numeral in FIG. 11B indicates the same elements as those in the previous drawings). In the latter case, the wafer can be programmed to enter and exit from one side or both sides. The processing chambers can be arranged at irregular intervals along the transfer chamber, that is, with a space between the processing chambers. An important feature of this arrangement is that each processing chamber is arranged so that the transfer chambers can feed the wafers to the individual processing chambers in the desired form and in response to computer-controlled commands for the system. .

公知例において、直列型(tandem)の処理チャンバを有するものは知られている。この処理チャンバの各々は、隣り合った(side-by-side)2枚のウェーハを処理するように構成されている。しかし、これら公知のシステムは、常に互いに既定の距離だけ離れた位置にある2枚のウェーハを積み込むように構成されたメインフレーム及びロボットを有するものである。すなわち、公知の直列型積み込み用ロボットの2本のアームは、独立して制御することができず、互いに固定の距離だけ離れて設置されるものである。従って、メインフレーム、ロードロック及びチャンバの構成は同じ距離だけ離れた2枚のウェーハを積載するものに限定される。
加えて、システムにおける全てのもの、例えばロードロック、ロボットアーム、チャンバのチャック等が完全に同じ離間距離にあるように調整されることが確実となるよう、注意を払う必要がある。これは、システムの設計、動作、及びメンテナンスにおいて、とてつもなく大きな限定でありかつ負担である。
In the known example, one having a tandem processing chamber is known. Each of the processing chambers is configured to process two side-by-side wafers. However, these known systems have a main frame and a robot configured to load two wafers that are always at a predetermined distance from each other. That is, the two arms of the known series loading robot cannot be controlled independently, and are installed at a fixed distance from each other. Therefore, the configuration of the main frame, the load lock, and the chamber is limited to a stack of two wafers separated by the same distance.
In addition, care must be taken to ensure that everything in the system, such as load locks, robot arms, chamber chucks, etc., are adjusted to be at exactly the same distance. This is a tremendous limitation and burden in system design, operation and maintenance.

この革新的なメインフレーム・システムは設計の自由度を高めつつ直列型チャンバを搭載するよう構成されることが容易であり、且つ調整やメンテナンスの必要性を低減したものである。図12は、直列型処理チャンバに適用される革新的なメインフレーム・システムの実施例を示している。メインフレームは線形搬送チャンバ1232を含む。そして、この線形搬送チャンバ1232は、互いに独立して移動するロボットアーム1241、1243、及び単層のロードロックチャンバ1235を有する。この革新的なメインフレーム(この例では一つのスタック)の用途の広さを例示するために、この例では、単層すなわち非直列型のロードロックチャンバ1235が示されている。特に、直列型のチャンバとして設計されるメインフレームが直列型のロードロックを有しなければならない従来技術とは異なり、ここでは、ロボットアームが独立に作動されるので、単層のロードロックから直列型の複数の処理チャンバ上に向けてウェーハを積み込むことができる。   This innovative mainframe system is easy to configure to mount in-line chambers with increased design freedom and reduces the need for adjustment and maintenance. FIG. 12 shows an embodiment of an innovative mainframe system applied to an in-line processing chamber. The main frame includes a linear transfer chamber 1232. The linear transfer chamber 1232 includes robot arms 1241 and 1243 that move independently from each other, and a single-layer load lock chamber 1235. To illustrate the versatility of this innovative mainframe (one stack in this example), in this example a single layer or non-series load lock chamber 1235 is shown. In particular, unlike the prior art where the mainframe designed as a series chamber must have a series loadlock, here the robot arm is operated independently, so a single layer loadlock is connected in series. Wafers can be loaded onto multiple processing chambers of the mold.

例えば、2枚のウェーハが、ロードロック1235内部において上下に重ねて配置され、1つのアームが下方のウェーハを取り、もう1つのアームが上方のウェーハを取ることができる。そして、各アームは、直列型のチャンバの一方の側にそのウェーハを配置する。この実施例の革新的な特徴によれば、各ロボットは、基板を直列型の処理チャンバのどちらの側にも配置できる。すなわち、ロボットアームとチャンバの間の1対1の対応がある従来技術と異なり、言い換えるならば、右側のロボットアームが直列型のチャンバの右側だけに運び込むことができる従来技術と異なり、本発明では、いかなるアームも、直列型のチャンバのどちらの側にも運び込みを行うことができる。   For example, two wafers can be placed one above the other inside the load lock 1235, with one arm taking the lower wafer and the other arm taking the upper wafer. Each arm places its wafer on one side of a series chamber. According to the innovative features of this embodiment, each robot can place the substrate on either side of the serial processing chamber. That is, unlike the conventional technique in which there is a one-to-one correspondence between the robot arm and the chamber, in other words, unlike the conventional technique in which the right robot arm can be carried only to the right side of the series-type chamber, Any arm can carry into either side of the series chamber.

図12の実施例において、5つのチャンバ1201、1203、1205、1207及び1209は、搬送チャンバ1232の上部に載置される。
チャンバ1201、1203、1205の各々は、同時に2枚の基板を処理するために構成される直列型のチャンバを形成する。チャンバ1201及び1205は上部カバーが置かれた状態を図示されており、一方、チャンバ1203は上部カバーを取り外した状態を図示されている。この革新的なメインフレームの1つの効果は、各直列型処理チャンバの各々のピッチ(すなわち、中心‐中心間距離)が他のものと一致したものである必要がないということである。例えば、距離Xとして示されるチャンバ1205のピッチは、距離Yとして示されるチャンバ1203のピッチと同一である必要はない。むしろ、各ロボットはメインフレームに載置される各チャンバの各処理領域の中心を知るように”教育”(train)されることができる。その結果、各ロボットアームはウェーハをいかなる処理領域にも分配することができて、正確にその中心に配置することができる。
In the example of FIG. 12, five chambers 1201, 1203, 1205, 1207 and 1209 are mounted on the upper part of the transfer chamber 1232.
Each of the chambers 1201, 1203, 1205 forms a series-type chamber configured to process two substrates simultaneously. Chambers 1201 and 1205 are shown with the top cover in place, while chamber 1203 is shown with the top cover removed. One advantage of this innovative mainframe is that the pitch (ie, center-to-center distance) of each serial processing chamber need not match that of the other. For example, the pitch of chamber 1205 shown as distance X need not be the same as the pitch of chamber 1203 shown as distance Y. Rather, each robot can be “trained” to know the center of each processing area of each chamber placed on the mainframe. As a result, each robot arm can distribute the wafer to any processing area and can be accurately centered.

加えて、従来技術システムで単一のバルブが直列型のチャンバ及びロードロックのために提供されなければならない。これに対し、本発明では、ロボットアームが独立しているので、チャンバ1201のための1251及び1253で示すように、各プロセス領域はそれ自身の独立した遮断バルブを有することができる。または、チャンバ1203のための1255で示すように、単一のバルブが用いられてもよい。   In addition, a single valve in the prior art system must be provided for the in-line chamber and load lock. In contrast, in the present invention, since the robot arm is independent, each process region can have its own independent isolation valve, as shown at 1251 and 1253 for the chamber 1201. Alternatively, a single valve may be used, as shown at 1255 for chamber 1203.

直列型のチャンバを使用することの1つの効果は、資源を2つの直列型の処理ゾーンで共有することができることである。例えば、チャンバ1201の2つの処理ゾーンは、処理ガス供給源1210及び真空ポンプ1212を共有する。すなわち、各処理ゾーンがそれ独自のガス分配機構1214、1216(例えば、シャワーヘッド及び関連した要素)を有する一方で、2つの処理ゾーンのガス分配機構は、同じガス供給源1210(例えば、ガス・スティック)に連結する。
真空ポンプ1212は両方の処理ゾーンに至る排気連結管(manifold)に接続していることができる。それによって、両方のゾーンを同じ圧力に維持する。
他の要素、例えばRF要素は両方の処理ゾーンに共通でもよいし、または、各ゾーン毎に別々に設けられていてもよい。
One advantage of using a serial chamber is that resources can be shared by two serial processing zones. For example, the two processing zones of the chamber 1201 share a processing gas source 1210 and a vacuum pump 1212. That is, each processing zone has its own gas distribution mechanism 1214, 1216 (eg, a showerhead and associated elements), while the gas distribution mechanisms of the two processing zones have the same gas supply 1210 (eg, gas To the stick).
The vacuum pump 1212 can be connected to an exhaust manifold leading to both processing zones. Thereby, both zones are maintained at the same pressure.
Other elements, such as RF elements, may be common to both processing zones, or may be provided separately for each zone.

チャンバ1207及び1209は、複合型の単一−直列型処理チャンバを形成する。すなわち、チャンバ1207及び1209の各々は、単一のウェーハを処理するよう構成される。しかしながら、直列型の処理チャンバのいくつかの特徴は、本実施例において実現される。例えば処理ガス供給源1211及び真空ポンプ1213は、両方のチャンバに共通とされていてもよい。ガス供給源及びバイアス・エネルギーは、同一の又は別々のソースから供給されることができる。
また、任意には、2つのチャンバが、メインフレームに搭載されつつ整列配置されて通常の直列型チャンバとして機能するようキー1202が設けられていても良い。これならば、より大きい直列型処理チャンバを製造するための複雑さ、及びコストを伴わない。
Chambers 1207 and 1209 form a combined single-series processing chamber. That is, each of the chambers 1207 and 1209 is configured to process a single wafer. However, some features of the serial processing chamber are realized in this embodiment. For example, the processing gas supply source 1211 and the vacuum pump 1213 may be common to both chambers. The gas source and bias energy can be supplied from the same or separate sources.
Further, optionally, a key 1202 may be provided so that the two chambers are arranged and arranged while being mounted on the main frame to function as a normal series chamber. This does not involve the complexity and cost of manufacturing a larger serial processing chamber.

図13は、2つの直列型チャンバ1301及び1305、2つの独立した単一ウェーハ用チャンバ1303及び1304、及び1つの複合型単一−直列型チャンバ1307、1309を備えた革新的なメインフレームのさらにもう一つの実施例を例示する。すなわち、ロボット1341及び1343が独立している革新的なメインフレーム1332を用いていることにより、ピッチがすべてのチャンバにおいて同一であることを保証する必要をなくすことができる。このため、同一のピッチを有する直列型チャンバ、異なるピッチを有する直列型チャンバ、単一ウェーハ用チャンバを混合できる。ロボット1341及び1343は、互いの間での引き渡しが可能であるので、これらは同時に直列型のチャンバの各々にロードすることができる。また、それらはそれぞれ独立に、または、並行して複数の単一ウェーハ用チャンバの各々にロードすることができるので、このように、複雑な直列型チャンバを利用する必要無しに、チャンバ配置のスループットを高めることができる。   FIG. 13 further illustrates an innovative mainframe with two series chambers 1301 and 1305, two independent single wafer chambers 1303 and 1304, and one composite single-series chamber 1307, 1309. Another embodiment is illustrated. That is, using the innovative mainframe 1332 where the robots 1341 and 1343 are independent can eliminate the need to ensure that the pitch is the same in all chambers. Therefore, a series chamber having the same pitch, a series chamber having different pitches, and a single wafer chamber can be mixed. Since the robots 1341 and 1343 can be handed over to each other, they can be loaded into each of the series chambers simultaneously. Also, they can be loaded into each of a plurality of single wafer chambers independently or in parallel, thus eliminating the need for utilizing complex series chambers and thus increasing the throughput of the chamber arrangement. Can be increased.

図13に図示される他の特徴は、直列型チャンバ1305へのロードを行うために、単一の中心遮断バルブ1357を使用していることである。図示されるように、バルブ1357は単一のウェーハだけの通過を許容するような大きさを設定される。しかしながら、2枚のウェーハは、カーブする矢で示すように、直列型のチャンバ1305にセットされる。これは、従来技術システムでは実行できない。   Another feature illustrated in FIG. 13 is the use of a single central shut-off valve 1357 for loading into the in-line chamber 1305. As shown, valve 1357 is sized to allow only a single wafer to pass through. However, the two wafers are set in a series chamber 1305 as indicated by the curved arrows. This is not possible with prior art systems.

図14は、異なるタイプの処理チャンバが線形搬送チャンバ1432に取り付けられる他の実施例を例示する。この例では、複数ウェーハ処理チャンバ1405、三連直列型チャンバ1401、単一チャンバ1404、複合型単一−直列型チャンバ1407及び1409が革新的なメインフレームに取り付けられている。
チャンバ1405は従来のバッチ処理チャンバ(例えば、4つのウェーハ・ステーション(内部に定められる4つの円形配列の処理領域)を有するサーマルまたはプラズマの強化されたCVDチャンバ)でもよい。ステーションは、一度に1つだけロードされてもよいし、2つロードされてもよい。
単一チャンバ1404は、単一の基板処理チャンバでもよいし、積層型複数ウェーハ冷却ステーションであってもよい。例えば、25枚のウェーハを積み重ねた冷却ステーションでもよい。
FIG. 14 illustrates another embodiment in which different types of processing chambers are attached to the linear transfer chamber 1432. In this example, a multi-wafer processing chamber 1405, a triple series chamber 1401, a single chamber 1404, a combined single-series chamber 1407 and 1409 are attached to the innovative mainframe.
Chamber 1405 may be a conventional batch processing chamber (eg, a thermal or plasma enhanced CVD chamber having four wafer stations (four circular arrays of processing regions defined therein)). Only one station may be loaded at a time, or two stations may be loaded.
The single chamber 1404 may be a single substrate processing chamber or a stacked multiple wafer cooling station. For example, a cooling station in which 25 wafers are stacked may be used.

更に、本発明ではロボットアームが独立しているので、直列型の処理は一度に2枚のウェーハ処理に限定されない。この例では、3枚の基板の直列型処理チャンバが示され、3枚のウェーハの並行処理を可能にしている。
ここでは、2本のアームだけが示され、チャンバ1401に完全にロードを行うため1本のアームが2回の移動を必要としている一方、図15にて図示したように、2本以上のアームを有する装置が用いられることも可能である。
Furthermore, since the robot arm is independent in the present invention, the series processing is not limited to processing two wafers at a time. In this example, a three substrate serial processing chamber is shown, allowing parallel processing of three wafers.
Here, only two arms are shown, and one arm needs to move twice to fully load the chamber 1401, while two or more arms as shown in FIG. It is also possible to use a device having

図14において示される他の任意の特徴は、蛙の脚、一般的にはSCARA(Selective Compliance Assembly Robot Arm)と呼ばれているロボットアーム1441及び1443を使用していることである。ロボットアーム1441、1443は、本発明の他の実施形態と同様に線形のレールに載っている。   Another optional feature shown in FIG. 14 is the use of robotic legs 1441, 1443, commonly referred to as SCARA (Selective Compliance Assembly Robot Arm). The robot arms 1441 and 1443 are mounted on linear rails as in the other embodiments of the present invention.

図14の実施例も直列・堆積型のロードロックチャンバ1435を利用する。このロードロックチャンバ1435は、横に並んだ2山のウェーハを有する。
ロードロック1435は従来の直列型のロードロックでもよい一方、革新的なメインフレームは、以前に利用不可能であった特徴をロードロックに与えることを可能にする。例えば、ロードロックが直列型である一方、しきい1438を有することにより、このロードロックは2つの別々のチャンバにより構成されることができる。そして、2つの隔離ゲート1437及び1439を設けることもできる(直列型のウェーハ毎に)。このような構成を用いて、本発明では、一方のゲートは、他方のゲートとは独立して開閉されることができる。この点、従来技術は、単一のゲートのみが使用され、直列型ロードロックの両側が一緒に開かれる点で本発明と異なっている。このようにして、ロボットが同時に2枚のウェーハを積み込む場合、両方の遮断バルブは開かれることができる。しかしながら、単一のウェーハが積み込まれる場合、単一の隔離ゲートだけが開かれるようにする必要がある。
The embodiment of FIG. 14 also utilizes a series / stacked load lock chamber 1435. The load lock chamber 1435 has two wafers side by side.
While the load lock 1435 may be a conventional in-line load lock, the innovative mainframe allows the load lock to be given features that were not previously available. For example, by having a threshold 1438 while the load lock is in series, the load lock can be composed of two separate chambers. Two isolation gates 1437 and 1439 can also be provided (for each series wafer). With such a configuration, in the present invention, one gate can be opened and closed independently of the other gate. In this regard, the prior art differs from the present invention in that only a single gate is used and both sides of the series loadlock are opened together. In this way, both shut-off valves can be opened when the robot loads two wafers simultaneously. However, when a single wafer is loaded, only a single isolation gate needs to be opened.

図15は、革新的なメインフレームが基板の高いスループット処理のために利用される他の実施例を例示する。この装置は、基板の処理を高いスループットで繰り返す場合(例えば太陽電池の製作のための基板処理等)において有益である。
この例では、2本の線形レール1543及び1543’が搬送チャンバ1532に位置している。そして、それぞれは2本の線形ロボットアーム1541を支持する。一例において、線形トラック1543上のロボットアームが搬送チャンバ1532の左側において処理チャンバ1501に供給を行い、その一方で、他のロボットアームは他方の側のチャンバに供給を行う。しかしながら、ロボットアームは、搬送チャンバ1532のどちらの側のチャンバに対しても供給を行うように構成されることが可能である。
FIG. 15 illustrates another embodiment in which the innovative mainframe is utilized for high throughput processing of substrates. This apparatus is useful when the processing of a substrate is repeated at a high throughput (for example, substrate processing for manufacturing a solar cell).
In this example, two linear rails 1543 and 1543 ′ are located in the transfer chamber 1532. Each supports two linear robot arms 1541. In one example, the robot arm on the linear track 1543 supplies the processing chamber 1501 on the left side of the transfer chamber 1532 while the other robot arm supplies the chamber on the other side. However, the robotic arm can be configured to supply either side of the transfer chamber 1532.

図15の実施例の他の任意の特徴は、2つのロードロックを備えていることである。ロードロック1535は処理のための基板を積載するために用いる。その一方で、ロードロック1537は処理完了後基板を降ろすために使われる。
この例では直列型のロードロックが例示されているが、単一基板用ロードロック又は積層型ロードロックが同様に利用できることと理解されるべきである。
積載用ロードロックに対向する側で積み下ろし用(unloading)ロードロックを有することによって、必要に応じて、破線シルエットで示すように、他のシステムは、積み下ろし用ロードロックに直接連結できる。このように、本システムは、特定の状況によって、必要に応じてさまざまな数の処理チャンバを搭載するようモジュール式にされることができる。
Another optional feature of the embodiment of FIG. 15 is the provision of two load locks. A load lock 1535 is used to load a substrate for processing. On the other hand, the load lock 1537 is used to lower the substrate after the processing is completed.
In this example, a series load lock is illustrated, but it should be understood that a single substrate load lock or a stacked load lock may be used as well.
By having an unloading load lock on the side opposite the loading load lock, other systems can be connected directly to the loading load lock, as indicated by the dashed silhouette, if desired. In this way, the system can be modularized to include various numbers of processing chambers as needed depending on the particular situation.

本発明の他の実施例によれば、この革新的なメインフレームは積層される。図16に示すように、上部線形搬送チャンバ1633は、下部線形搬送チャンバ1632より上にある。各線形搬送チャンバは、処理チャンバを接続するための適当な取付装置を有する複数の開口部1601を有する。
エレベータ1662は、上下の線形搬送チャンバの間で基板を移動させる。この特定の例では、基板は、積み込みチャンバ1671から載せられて、積み下ろしチャンバ1673を経て取り除かれる。しかしながら、必要に応じて、他のエレベータを同様にシステムの前に設けることができる。その結果、チャンバは同じレベルで載せられて、降ろされる。
According to another embodiment of the invention, the innovative mainframe is laminated. As shown in FIG. 16, the upper linear transfer chamber 1633 is above the lower linear transfer chamber 1632. Each linear transfer chamber has a plurality of openings 1601 with suitable attachment devices for connecting the processing chambers.
The elevator 1662 moves the substrate between the upper and lower linear transfer chambers. In this particular example, the substrate is loaded from loading chamber 1671 and removed via unloading chamber 1673. However, if necessary, other elevators can be provided in front of the system as well. As a result, the chamber is loaded and lowered at the same level.

図17は、誘発された電流が起動力をロボットアームへ供給するために用られる革新的なメインフレーム・システムの実施例を例示する。この例は、図7Dに示された例と類似しているが、1つの主たる相違点を有する。すなわち、前述の
前述の実施例では、磁力が線形及び回転運動をロボットアームに与えるために用いられていた。しかしながら、本実施例において、誘発された電流が起動力を供給するために用られる。例えば、ロボット・アームアセンブリは、回転運動のため、線形運動のため、又は回転及び線形運動の両方を行うためのステッパーモータを含むことができる。本実施例において、搬送チャンバの真空部分に電気的配線を配線することを回避するために、ステッパーモータは、誘発された電流を使用して付勢される。ステッパーモータの各々は、真空環境に位置して、導電コイル(例えば、コイル48)に連結する。
FIG. 17 illustrates an embodiment of an innovative mainframe system in which the induced current is used to provide a starting force to the robot arm. This example is similar to the example shown in FIG. 7D, but with one major difference. That is, in the above-described embodiment, the magnetic force is used to give linear and rotational motion to the robot arm. However, in this embodiment, the induced current is used to provide the starting force. For example, the robot arm assembly can include a stepper motor for rotational motion, for linear motion, or for performing both rotational and linear motion. In this embodiment, the stepper motor is energized using an induced current to avoid routing electrical wiring in the vacuum portion of the transfer chamber. Each of the stepper motors is located in a vacuum environment and is coupled to a conductive coil (eg, coil 48).

駆動コイル50は、コイル48に対抗している位置の真空環境の外側に位置する。ステッパーモータが付勢されることを必要とするときに、電流は適当なコイル50において流される。このコイル50は対応するコイル48の電流を誘発する。そして、このことによりモーターに付勢する。   The drive coil 50 is located outside the vacuum environment at a position facing the coil 48. When the stepper motor needs to be energized, current is passed through the appropriate coil 50. This coil 50 induces a current in the corresponding coil 48. And this energizes a motor.

チャンバは真空内にあるものとして説明がなされたが、実際には、いくつかの場合には、特定のガスまたは他の流体が含まれた領域にチャンバを設けることもできる。従って、本明細書で用いられる「真空」という用語も、例えば全体のシステムで採用され得る特別なガスを含むような自己包含環境(a self contained environment)と解釈されなければならない。   Although the chamber has been described as being in a vacuum, in practice, in some cases, the chamber may be provided in an area containing a particular gas or other fluid. Thus, the term “vacuum” as used herein should also be interpreted as a self contained environment, including for example a special gas that can be employed in the overall system.

図1では、クラスタツールは、7つの処理チャンバを含んでいる。図9では、開示のシステムは、8つの処理チャンバを含んでいる。図1のツール及び周辺機器の総設置面積は、約38mである。図9のツール(ならびに追加的な処理チャンバ及び周辺機器)の総設置面積は、23mである。したがって、本発明に係る線形配列を利用すれば、チャンバ数を増やした場合にも、システムの設置面積はかなり小さくなる。大部分において、このような改良は、図1に示したタイプのシステムに関連する中央セクションを使用した場合よりも、図9の搬送チャンバ32として示される改良型フィードシステムを利用した場合に達成される。 In FIG. 1, the cluster tool includes seven processing chambers. In FIG. 9, the disclosed system includes eight processing chambers. The total installation area of the tool and peripheral equipment of FIG. 1 is about 38 m 2 . The total footprint of the tool of FIG. 9 (and additional processing chambers and peripherals) is 23 m 2 . Therefore, if the linear arrangement according to the present invention is used, the installation area of the system is considerably reduced even when the number of chambers is increased. For the most part, such improvements are achieved when utilizing an improved feed system, shown as transfer chamber 32 in FIG. 9, rather than using a central section associated with a system of the type shown in FIG. The

本発明の線形アーキテクチャは、極めて柔軟性が高く、複数の基板サイズ及び形状に適応する。半導体製作に使用されるウェーハは、典型的に円形であり、その直径は200又は300mmである。半導体産業では、ウェーハ毎のデバイス数を増加させる試みが常になされており、ウェーハサイズは、75mm、100mm、200mm、300mmというように着実に大きくなっており、直径450mmのウェーハを目指した努力が続けられている。本発明に固有のアーキテクチャによれば、クリーンルームウェーハ製造工場で必要とされる床面積は、各処理が周辺に配置される典型的なクラスタツールを用いた場合ほど大きくなることはない。   The linear architecture of the present invention is extremely flexible and accommodates multiple substrate sizes and shapes. Wafers used for semiconductor fabrication are typically circular and have a diameter of 200 or 300 mm. In the semiconductor industry, attempts are constantly being made to increase the number of devices per wafer, and the wafer size has steadily increased to 75 mm, 100 mm, 200 mm, and 300 mm, and efforts aimed at wafers with a diameter of 450 mm continue. It has been. With the architecture unique to the present invention, the floor space required in a clean room wafer manufacturing plant is not as large as with typical cluster tools where each process is located in the vicinity.

さらに、出力を高めるために、このタイプ(図1)のクラスタツールのサイズを増加させることが望まれる場合には、総寸法が指数的に増加する一方、本願に記載のシステムは、単一方向のサイズの増加、即ちシステムの幅は同じであり長さが増加するだけである。アルミニウム加工のような同様の処理でも、図1に示される機器よりも占有スペースの小さい、図9に示されるタイプのシステムを同一期間使用した場合のスループットについて言えば、図9の機器は、図1に示されるようなシステムのほぼ2倍の数(概算で約170%増)のウェーハを製造する。したがって、本明細書で開示されるシステムを使用すれば、測定されるクリーンルーム領域毎のウェーハ出力が、従来技術のユニットと比較して大幅に改善される。これにより、ウェーハ製造時のコスト削減の目的が達成されることは言うまでもない。   In addition, if it is desired to increase the size of this type (FIG. 1) of cluster tools to increase power, the overall dimensions will increase exponentially, while the system described herein is unidirectional Increase in size, i.e. the width of the system is the same and the length is only increased. In a similar process such as aluminum processing, the apparatus of FIG. 9 is similar to the apparatus shown in FIG. 9 in terms of throughput when the system of the type shown in FIG. Produces approximately twice as many wafers (approximately 170% increase) as the system shown in FIG. Thus, using the system disclosed herein, the measured wafer power per clean room area is greatly improved compared to prior art units. As a result, it goes without saying that the purpose of cost reduction during wafer manufacture is achieved.

本機器の設計は、円形基板に限定されない。円弧状に形成される経路内でウェーハを移動させるクラスタツールは、基板が長方形であり、実際の基板の長方形形状に内接する円形基板を取り扱うサイズにツールを調整する必要がある場合に特に有利である一方、線形ツールは、いずれの方向においても、実際の形状を通過するのに必要となるサイズよりも小さくてよい。例えば、300mmの正方形基板を処理する場合、クラスタツールは、424mmの円形基板を取り扱うサイズにする必要があるが、線形ツールは、300mmの円形基板に必要なサイズよりも小さくてよい。   The design of this device is not limited to circular substrates. A cluster tool that moves a wafer in a circular path is particularly advantageous when the substrate is rectangular and the tool needs to be sized to handle a circular substrate inscribed in the rectangular shape of the actual substrate. On the other hand, the linear tool may be smaller than the size required to pass the actual shape in either direction. For example, when processing a 300 mm square substrate, the cluster tool needs to be sized to handle a 424 mm circular substrate, while the linear tool may be smaller than the size required for a 300 mm circular substrate.

搬送チャンバ32のサイズもまた、他のどのような部材のウェーハであっても、入口チャンバ内を通過して処理チャンバ内に入り、処理チャンバからシステム外部に移動される基板の移動に必要な空間さえ提供すればよい。したがって、このチャンバの幅は、処理される基板のサイズよりも僅かに大きいだけである。しかしながら、より小さい部材をシステム内で処理することも、基板ホルダで複数の部材をまとめて処理することもできる。   The size of the transfer chamber 32 is also a space necessary for moving a substrate that passes through the entrance chamber and enters the processing chamber and is moved from the processing chamber to the outside of the system. You just have to provide it. Therefore, the width of this chamber is only slightly larger than the size of the substrate being processed. However, smaller members can be processed in the system, or multiple members can be processed together at the substrate holder.

以上、本発明が特定の材料、特定のステップを有する例示的実施形態に関して説明されたが、これらの具体例の変更がなされ又は使用可能であることは、当業者にとって理解されることである。そして、そのような構造と方法は、記載され図示された実践、及び添付の請求の範囲により定義される本発明の範囲内から逸脱することなくなされ得る改変を容易にするような動作の議論によりもたらされる理解から得られるであろうことも、当業者にとって理解されることである。   Although the invention has been described with reference to specific embodiments having specific materials and specific steps, it should be understood by those skilled in the art that these specific examples can be modified or used. Such structures and methods are then discussed in terms of the practices described and illustrated, and operations that facilitate modifications that may be made without departing from the scope of the present invention as defined by the appended claims. It will also be appreciated by those skilled in the art that the resulting understanding will be obtained.

Claims (12)

基板処理システムであって、
細長い基板用の搬送チャンバと、
前記搬送チャンバ内に付加される線形トラックと、
前記線形トラックに線形に搭載された少なくとも2本のロボットアームと、
前記細長い基板用の搬送チャンバの壁面に設置され、少なくとも一つの複数基板処理チャンバを前記細長い基板用の搬送チャンバに接続することを可能にするよう構成された接続部と
を備え、
前記複数基板処理チャンバは、その内部に定められる複数の処理領域を有し、
前記接続部は、前記複数の処理領域の各々へのアクセスを提供し、且つ前記少なくとも2本のロボットアームの各々は、前記線形トラックに沿って独立に動作可能とされ、これにより各々のロボットアームが独立に、異なる処理領域にアクセスし、
前記ロボットアームの各々は、前記複数基板処理チャンバの任意の処理領域に基板を積み込ませる構造である基板処理システム。
A substrate processing system,
A transfer chamber for an elongated substrate;
A linear track added in the transfer chamber;
At least two robot arms mounted linearly on the linear track;
A connection disposed on a wall of the elongated substrate transfer chamber and configured to connect at least one multi-substrate processing chamber to the elongated substrate transfer chamber ; and
The multi-substrate processing chamber has a plurality of processing regions defined therein,
The connecting portion provides access to each of the plurality of processing regions, and each of the at least two robot arms is independently operable along the linear track, whereby each robot arm Independently access different processing areas,
Each of the robot arms is a substrate processing system having a structure in which a substrate is loaded in an arbitrary processing region of the multiple substrate processing chamber.
単層のロードロックチャンバを更に備えた
請求項1に記載の基板処理システム。
The substrate processing system according to claim 1, further comprising a single layer load lock chamber.
直列型の積層されたロードロックチャンバを更に備えた請求項1に記載の基板処理システム。   The substrate processing system according to claim 1, further comprising a series-type stacked load lock chamber. 前記複数基板処理チャンバは、その内部に定義され線形に配列された複数の処理領域を有する単一のチャンバボディを有する請求項1に記載の基板処理システム。   The substrate processing system according to claim 1, wherein the multi-substrate processing chamber has a single chamber body having a plurality of processing regions defined therein and arranged linearly. 前記複数基板処理チャンバは、互いに整列して搭載された2つのチャンバボディを含み、その2つのチャンバボディのそれぞれはその内部に定められる単一の処理領域を有し、
更に、
前記2つのチャンバボディは、少なくとも処理ガス供給部または真空ポンプのうちの1つを共有するものである
請求項1に記載の基板処理システム。
The multi-substrate processing chamber includes two chamber bodies mounted in alignment with each other, each of the two chamber bodies having a single processing region defined therein;
Furthermore,
The substrate processing system according to claim 1, wherein the two chamber bodies share at least one of a processing gas supply unit and a vacuum pump.
前記複数基板処理チャンバは、単一のチャンバボディを有し、この単一のチャンバボディは、そこに定められる複数の円形に配列された処理領域を有する
請求項1に記載の基板処理システム。
The substrate processing system according to claim 1, wherein the multi-substrate processing chamber has a single chamber body, and the single chamber body has a plurality of circularly arranged processing regions defined therein.
前記ロボットアームのうちの少なくとも1本は、SCARAロボットアームを備える
請求項1に記載の基板処理システム。
The substrate processing system according to claim 1, wherein at least one of the robot arms includes a SCARA robot arm.
前記ロードロックとは反対側において前記細長い基板用の搬送チャンバに連結する積み下ろし用ロードロックを更に備えた
請求項1に記載の基板処理システム。
The substrate processing system according to claim 1, further comprising a load lock for loading and unloading connected to the transfer chamber for the elongated substrate on a side opposite to the load lock.
前記積み下ろし用ロードロックは、同時に2つの線形搬送チャンバに取り付けられるように構成される
請求項8に記載の基板処理システム。
The substrate processing system according to claim 8, wherein the loading / unloading load lock is configured to be attached to two linear transfer chambers simultaneously.
線形に搭載された少なくとも一つのロボットアームを有する第2の線形トラックを更に備えた
請求項1に記載の基板処理システム。
The substrate processing system of claim 1, further comprising a second linear track having at least one robot arm mounted linearly.
前記細長い基板用の搬送チャンバに載置する複数基板冷却ステーションを更に備えた
請求項1に記載の基板処理システム。
The substrate processing system according to claim 1, further comprising a multiple substrate cooling station mounted on the transfer chamber for the elongated substrate .
前記細長い基板用の搬送チャンバの上に搭載された上部線形搬送チャンバと、
前記細長い基板用の搬送チャンバ及び前記上部線形搬送チャンバに結合されて、その間で基板を搬送可能に構成されたエレベータと
を更に備えたことを特徴とする請求項1に記載の基板処理システム。
An upper linear transfer chamber mounted on the elongate substrate transfer chamber ;
The substrate processing system according to claim 1, further comprising an elevator coupled to the elongated substrate transfer chamber and the upper linear transfer chamber and configured to transfer a substrate therebetween.
JP2009046027A 2009-02-27 2009-02-27 Substrate transfer processing apparatus and method Active JP5388279B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009046027A JP5388279B2 (en) 2009-02-27 2009-02-27 Substrate transfer processing apparatus and method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009046027A JP5388279B2 (en) 2009-02-27 2009-02-27 Substrate transfer processing apparatus and method

Publications (2)

Publication Number Publication Date
JP2010199517A JP2010199517A (en) 2010-09-09
JP5388279B2 true JP5388279B2 (en) 2014-01-15

Family

ID=42823908

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009046027A Active JP5388279B2 (en) 2009-02-27 2009-02-27 Substrate transfer processing apparatus and method

Country Status (1)

Country Link
JP (1) JP5388279B2 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107164742B (en) * 2011-03-01 2020-10-16 应用材料公司 Vacuum chamber with shared pump
KR101433810B1 (en) * 2013-04-08 2014-08-26 피에스케이 주식회사 System and method for treating substrate
CN108695213B (en) 2013-09-26 2022-03-18 应用材料公司 Hybrid platform-based apparatus, system, and method for substrate processing
DE102016125273A1 (en) * 2016-12-14 2018-06-14 Schneider Gmbh & Co. Kg System, method and carrier for coating spectacle lenses
JP7480585B2 (en) 2020-05-20 2024-05-10 東京エレクトロン株式会社 Articulated processing vessels and substrate processing system.

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JP2000216214A (en) * 1999-01-22 2000-08-04 Kokusai Electric Co Ltd Substrate treatment device
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab

Also Published As

Publication number Publication date
JP2010199517A (en) 2010-09-09

Similar Documents

Publication Publication Date Title
US9524896B2 (en) Apparatus and methods for transporting and processing substrates
US9691649B2 (en) Linear vacuum robot with z motion and articulated arm
JP5984036B2 (en) A linear vacuum robot with z-motion and multi-joint arm
TWI446477B (en) Apparatus and methods for transporting and processing substrates
US8293066B2 (en) Apparatus and methods for transporting and processing substrates
JP5134575B2 (en) Method for manufacturing semiconductor devices in a multi-chamber system
US9177842B2 (en) Degassing apparatus adapted to process substrates in multiple tiers with second actuator
TWI394224B (en) Apparatus and methods for transporting and processing substrates
JP5388279B2 (en) Substrate transfer processing apparatus and method
JP5247094B2 (en) Substrate processing system
KR101718540B1 (en) Apparatus and methods for transporting and processing substrates
JP5578539B2 (en) Substrate transfer processing apparatus and method
TWI488247B (en) Apparatus and method for transporting and processing substrates
EP2187433B1 (en) Apparatus and method for transporting and processing substrates
WO2020132105A1 (en) Hybrid system architecture for thin film deposition
KR20110049751A (en) Apparatus and methods for transporting and processing substrates
KR20100052321A (en) Apparatus and methods for transporting and processing substrates
JP2022538949A (en) Hybrid system architecture for thin film deposition
JP2024045004A (en) Container relay unit and logistics conveyance system including it
TW200915464A (en) Compact substrate transport system with fast swap robot

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130319

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130322

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130617

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130620

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130719

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130724

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130809

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130910

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131004

R150 Certificate of patent or registration of utility model

Ref document number: 5388279

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250