KR20110049751A - Apparatus and methods for transporting and processing substrates - Google Patents

Apparatus and methods for transporting and processing substrates Download PDF

Info

Publication number
KR20110049751A
KR20110049751A KR1020110026881A KR20110026881A KR20110049751A KR 20110049751 A KR20110049751 A KR 20110049751A KR 1020110026881 A KR1020110026881 A KR 1020110026881A KR 20110026881 A KR20110026881 A KR 20110026881A KR 20110049751 A KR20110049751 A KR 20110049751A
Authority
KR
South Korea
Prior art keywords
chamber
wafer
linear
processing
arm
Prior art date
Application number
KR1020110026881A
Other languages
Korean (ko)
Inventor
테리 블럭
케빈 피 페어베어른
마이클 에스 반즈
크리스토퍼 티. 레인
Original Assignee
인테벡, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인테벡, 인코포레이티드 filed Critical 인테벡, 인코포레이티드
Priority to KR1020110026881A priority Critical patent/KR20110049751A/en
Publication of KR20110049751A publication Critical patent/KR20110049751A/en

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/102Gears specially adapted therefor, e.g. reduction gears
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1628Programme controls characterised by the control loop
    • B25J9/1633Programme controls characterised by the control loop compliant, force, torque control, e.g. combined with position control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1628Programme controls characterised by the control loop
    • B25J9/1638Programme controls characterised by the control loop compensation for arm bending/inertia, pay load weight/inertia
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Abstract

PURPOSE: An apparatus and methods for transporting and processing substrates are provided to maximize the usage of a processing chamber while maintain small footprint. CONSTITUTION: In an apparatus and methods for transporting and processing substrates, A process chamber(31) is linearly located along a substrate transfer chamber(32). The substrate transfer chamber includes a discharge unit and an atmospheric unit. An EFEM(Equipment Front End Module)(33) enters to a system(34) through a feeding device. A non-power base is freely lying in a first linear track. A magnetically-coupled follower is attached to the non-power base.

Description

기판을 이송 및 처리하는 장치 및 방법{APPARATUS AND METHODS FOR TRANSPORTING AND PROCESSING SUBSTRATES}Apparatus and method for transferring and processing substrates {APPARATUS AND METHODS FOR TRANSPORTING AND PROCESSING SUBSTRATES}

본 발명은 일반적으로 기판 및 더 상세하게는 웨이퍼를 이송 및 처리하는 신규한 장치 및 방법에 관련된다.The present invention generally relates to novel apparatus and methods for transferring and processing substrates and more specifically wafers.

반도체의 제조시에, 클러스터 툴로 지칭되는 통상적인 툴은 웨이퍼의 제조시에 이용되는 주요 유닛 중 하나이다. 통상적인 상업적 디바이스는 일반적으로 주위를 따라 부착된 챔버를 갖는 원형 중심 영역을 갖는다. 챔버는 그 중심 영역 주위에서 바깥쪽으로 연장된다. 웨이퍼가 처리되는 경우, 웨이퍼는 먼저 중심 챔버 주위의 입출력 스테이션으로부터 중심 챔버로 이동되고, 그 후, 그 중심 챔버로부터, 처리가 수행되는 부착 챔버 또는 주위의 챔버로 이동된다. 현재 이용되는 실질적으로 모든 제조 시스템으로서의 이러한 툴에서는, 통상적으로 웨이퍼를 한번에 하나씩 처리한다. 웨이퍼는 처리를 위해 챔버로 이동될 수도 있고, 그 후, 다시 중심 챔버로 이동될 수도 있다. 또 다른 주위 챔버로의 추가적 이동 및 후속 처리가 후속될 수 있고, 그 후, 중심 챔버로 다시 이동될 수 있다. 결국, 완전히 처리된 웨이퍼는 툴 밖으로 함께 이동된다. 이 이동은, 웨이퍼가 진공으로부터 대기중으로 이동하는, 일반적으로 로드로크 (load lock) 로 지칭되는 진공 시스템과 연결되는 입/출력 스테이션 또는 챔버를 통해 행해진다. 이러한 종류의 유닛은 예를 들어, 미국 특허 제 4,951,601 호에 기술되어 있다.In the manufacture of semiconductors, conventional tools, referred to as cluster tools, are one of the main units used in the manufacture of wafers. Typical commercial devices generally have a circular center region with chambers attached along the perimeter. The chamber extends outward around its central area. When the wafer is processed, the wafer is first moved from the input / output station around the center chamber to the center chamber and then from that center chamber to the attachment chamber or the surrounding chamber where the processing is performed. In these tools as substantially all manufacturing systems currently used, wafers are typically processed one at a time. The wafer may be moved to the chamber for processing and then back to the central chamber. Further movement and subsequent processing to another surrounding chamber may be followed and then moved back to the central chamber. As a result, the fully processed wafers are moved together out of the tool. This movement is done through an input / output station or chamber connected with a vacuum system, commonly referred to as a load lock, in which the wafer moves from vacuum to the atmosphere. Units of this kind are described, for example, in US Pat. No. 4,951,601.

또 다른 툴은 중심축을 따라 웨이퍼를 인덱싱하고 웨이퍼들을 주위의 처리 챔버를 통해 피딩한다. 이러한 툴에서는, 모든 웨이퍼가 동시에 다음 처리 단계로 피딩된다. 웨이퍼들은 독립적으로 처리될 수 있지만 독립적으로 이동될 수는 없다. 모든 웨이퍼는 동일한 시간 동안 처리 스테이션에 머물지만, 각 스테이션에서의 처리는 그 단계에 허용된 시간에 의해 허용되는 최대 시간에 종속하여 독립적으로 제어될 수 있다. 최초로 기술된 툴은 이러한 방식으로 동작될 수 있지만, 그러나, 실제로 이러한 툴은 웨이퍼가 인접 처리 챔버로 순서대로 진행하지 않도록 웨이퍼를 이동시킬 수도 있고, 모든 웨이퍼들이 처리 챔버에서 동일한 드웰 시간을 갖도록 요구되지는 않는다.Another tool indexes the wafer along the central axis and feeds the wafers through the surrounding processing chamber. In this tool, all wafers are fed to the next processing step at the same time. Wafers can be processed independently but cannot be moved independently. All wafers stay at the processing stations for the same time, but the processing at each station can be controlled independently depending on the maximum time allowed by the time allowed for that step. The first described tool may be operated in this manner, but in practice, such a tool may move the wafer so that the wafers do not progress sequentially to adjacent processing chambers, and not all wafers are required to have the same dwell time in the processing chamber. Does not.

이러한 시스템들 중 하나가 동작하는 경우, 중심 영역은 일반적으로 진공이지만, 몇몇 다른 미리 선택되거나 미리 결정된 제어 환경일 수도 있다. 예를 들어, 이러한 중심부는 처리 챔버에서 수행중인 프로세스에 유용한 가스를 제공할 수도 있다. 중심 영역의 외부면을 따라 존재하는 챔버 또는 컴파트먼트 (compartment) 도 일반적으로 진공일 수도 있지만, 이 또한 미리 선택되고 제어되는 가스 환경을 가질 수도 있다. 또한, 처리는 일반적으로 웨이퍼를 진공 상태로 중심 챔버로부터 부착 챔버 또는 컴파트먼트로 이동시킴으로써 진공 상태로 수행된다. 일반적으로, 웨이퍼가 처리를 위해 챔버 또는 컴파트먼트에 도달하면, 챔버 또는 컴파트먼트는 중심 챔버로부터 밀봉된다. 이것은 챔버 또는 컴파트먼트에서 이용되는 물질 및/또는 가스가 중심 영역에 도달하는 것을 방지하여, 중심 영역 및 부착 처리 챔버 내의 대기 중의 오염을 방지하고, 그리고/또는 중심 영역에 위치되어 처리를 대기중이거나 추가적으로 처리될 웨이퍼의 오염을 방지한다. 또한, 이것은, 처리 챔버가, 그 챔버에서 수행될 특정 처리를 위해 중심 챔버에서 이용되는 것과는 상이한 진공 레벨로 설정될 수 있게 한다. 예를 들어, 챔버의 처리 기술이 더 높은 진공 상태를 요구하면, 중심 영역과 챔버 사이에 있는 밀봉에 의해, 챔버 자체가 그 챔버 내에서 수행될 특정 프로세스에 대한 프로세스 요건에 부합하도록 더 펌프될 수 있다. 다른 방법으로, 더 낮은 진공 상태가 요구되면, 중심 챔버의 압력에 영향을 주지 않고 압력이 증가될 수도 있다. 웨이퍼의 처리가 완료된 이후, 웨이퍼는 중심 영역으로 다시 이동되어 시스템 외부로 배출된다. 이러한 방식으로, 웨이퍼는 이 툴을 통해 순차적으로 진행되어 챔버들 및 모든 이용가능한 프로세스를 통과한다. 다른 방법으로, 웨이퍼는 오직 선택된 챔버를 통해 진행되어 오직 선택된 프로세스에만 노출될 수도 있다.When one of these systems is operating, the central region is generally a vacuum, but may be some other preselected or predetermined control environment. For example, such a core may provide gas useful for a process being performed in a processing chamber. Chambers or compartments that exist along the outer surface of the central region may also be generally vacuum, but they may also have a preselected and controlled gaseous environment. In addition, the treatment is generally performed in a vacuum state by moving the wafer from the central chamber to the attachment chamber or compartment in a vacuum state. In general, when the wafer reaches the chamber or compartment for processing, the chamber or compartment is sealed from the central chamber. This prevents the materials and / or gases used in the chamber or compartment from reaching the central region, thereby preventing contamination of the atmosphere in the central region and the attachment treatment chamber, and / or located in the central region to await processing. Or to prevent contamination of the wafer to be further processed. This also allows the processing chamber to be set at a different vacuum level than that used in the central chamber for the particular processing to be performed in that chamber. For example, if the processing technology of the chamber requires a higher vacuum, the seal between the central region and the chamber may further pump the chamber itself to meet the process requirements for the particular process to be performed within that chamber. have. Alternatively, if a lower vacuum is required, the pressure may be increased without affecting the pressure in the central chamber. After processing of the wafer is completed, the wafer is moved back to the center area and discharged out of the system. In this way, the wafer proceeds sequentially through this tool and passes through the chambers and all available processes. Alternatively, the wafer may go through only the selected chamber and be exposed only to the selected process.

또한, 이 프로세스에 대한 변형예가 당해 분야에 제공되는 설비에서 이용된다. 그러나, 이러한 모든 것은 다양한 프로세스에 필수적인 중심 영역 또는 중심부에 의존할 것이다. 또한, 이러한 설비의 주된 용도는 웨이퍼 제조이기 때문에, 주로 웨이퍼의 측면에서 기술할 것이다. 그러나, 기술되는 프로세스의 대부분은 일반적인 기판에도 적용될 수 있고, 이 기술은 이러한 기판 및 이러한 제조 설비에도 적용될 수 있음을 이해해야 한다.In addition, variations on this process are used in facilities provided in the art. However, all of these will depend on the central area or central area, which is essential for the various processes. In addition, since the main use of such equipment is wafer fabrication, it will mainly be described in terms of wafers. However, it should be understood that most of the processes described may be applied to general substrates, and that the technique may also be applied to such substrates and such manufacturing facilities.

최근, 원형보다는 선형 형상을 갖고, 웨이퍼가 처리를 위해 하나의 챔버로부터 다음 챔버로 이동하는 점에서 기존과는 다른 시스템이 설명되고 있다. 웨이퍼가 하나의 챔버로부터 인접 챔버로 순서대로 이동하기 때문에, 설비의 일부로서 중심부가 필요없다. 이러한 툴에서는, 웨이퍼가 그 유닛으로 진입하여, 일반적으로, 웨이퍼가 시스템을 통해 이동할 때 그 웨이퍼와 함께 이동하는 척에 부착된다. 이러한 유닛에서는, 각각의 챔버에서 동일한 시간량 동안 수행된다.Recently, a different system has been described, having a linear shape rather than a circular shape, and in that the wafer moves from one chamber to the next for processing. Since the wafers move in sequence from one chamber to the adjacent chamber, no center is needed as part of the installation. In such a tool, a wafer enters the unit and is typically attached to a chuck that moves with the wafer as it moves through the system. In this unit, the same amount of time is performed in each chamber.

이러한 시스템은, 풋프린트 (footprint) 가 오직 처리 챔버의 풋프린트에 근접하기 때문에 통상적으로 당해 분야에서 더 작은 풋프린트를 갖고, 큰 중심부를 포함하지 않는다. 이것이 이러한 타입의 설비의 이점이다. 이 시스템은 계류중인 공개 특허 출원 공보 제 2006/0102078 A1 에 기술되어 있다. 이러한 특정 시스템은 각각의 프로세스 스테이션에서 균일한 드웰 시간을 갖는다. 이것은, 가장 긴 드웰 주기의 길이에 의해 제한되는 처리 시의 몇몇 차이점을 허용한다. 다양한 스테이션에서 독립적으로 제어되는 드웰 시간이 요구되면, 또 다른 접근방식이 선호될 수도 있다. 또한, 이러한 타입의 설비는, 하나의 스테이션이 수리 또는 관리를 위해 정지되면, 전체 시스템 자체가 처리에 이용될 수 없는 단점을 가진다.Such a system typically has a smaller footprint in the art and does not include a large center because the footprint is only close to the footprint of the processing chamber. This is the advantage of this type of installation. This system is described in pending published patent application publication no. 2006/0102078 A1. This particular system has a uniform dwell time at each process station. This allows some differences in processing that are limited by the length of the longest dwell period. If an dwell time that is controlled independently at various stations is required, another approach may be preferred. In addition, this type of equipment has the disadvantage that if one station is stopped for repair or maintenance, the entire system itself cannot be used for processing.

본 발명은 작은 풋프린트를 유지하면서 처리 스테이션에서 개별적으로 제어되는 드웰 시간을 허용하도록 의도된 신규한 웨이퍼 처리 유닛을 목적으로 한다. 또한, 본 발명은, 하나 이상의 스테이션이 하나 또는 다른 이유로 중지된 경우에도 동작을 진행할 수 있다. 부분적으로, 본 발명은, 반도체 제조 비용이 매우 고가이며 비용이 증가하고 있는 것에 대한 인식에서 비롯한다. 당해 분야에서 투자에 착수할 때, 비용이 더 비싸지면 위험도 커진다. 제조의 "린 (Lean)" 원리에 따라 비용을 일정 부분 낮추고 개선된 시스템 및 서비스를 제공하는 설비를 정의하는 것이 목적이다. 따라서, 작은 풋프린트를 유지하면서 처리 챔버를 최대화하는 것이 목적이다. 처리 스테이션의 이용을 최대화하는 것이 또 다른 목적이다. 이러한 설비의 로봇 공학 및 서비스를 단순화하는 것이 또 다른 목적이다. 또한, 이 시스템은, 처리 동안, 심지어 메인프레인의 서비스 동안 시스템의 100% 까지의 이용가능성을 포함하여, 상당한 리던던시를 제공할 것이다. 이러한 경우, 더 적은 수의 챔버가 이용될 것이지만, 모든 프로세스는 웨이퍼의 처리를 위해 계속 이용될 수 있다. 또한, 서비스 또는 처리 챔버는 처리 챔버의 후면 또는 전면 모두로부터 이용가능할 것이다. 또한, 바람직한 실시형태에서, 이 처리 챔버는 선형 구성으로 셋업될 것이다. 이것은, 다양한 처리 스테이션에서 웨이퍼에 대한 개별적 프로그램을 허용하는 시스템에 대해 최소의 풋프린트를 보장한다.The present invention aims at a novel wafer processing unit intended to allow dwell times that are individually controlled at a processing station while maintaining a small footprint. In addition, the present invention may proceed even if one or more stations are suspended for one or another reason. In part, the present invention derives from the recognition that semiconductor manufacturing costs are very expensive and the costs are increasing. When investing in the field, the higher the cost, the greater the risk. The goal is to define a facility which, according to the "Lean" principle of manufacturing, reduces costs to some degree and provides improved systems and services. Therefore, it is an object to maximize the processing chamber while maintaining a small footprint. It is another purpose to maximize the use of the processing station. Another goal is to simplify the robotics and services of these facilities. In addition, the system will provide significant redundancy, including up to 100% availability of the system during processing and even during service of the mainframe. In this case, fewer chambers will be used, but all processes can continue to be used for the processing of the wafer. In addition, the service or processing chamber will be available from both the back or front of the processing chamber. Also, in a preferred embodiment, this processing chamber will be set up in a linear configuration. This ensures a minimal footprint for systems that allow individual programming of wafers at various processing stations.

일반적으로 이 처리 챔버는, 웨이퍼 처리에 관련하여 이용되는 임의의 다양한 프로세스들을 수행하는 능력을 가질 수도 있다. 예를 들어, 웨이퍼의 제조 시에, 웨이퍼는 통상적으로, 하나 이상의 에칭 단계, 하나 이상의 스퍼터링 또는 물리적 증착 프로세스, 이온 주입 (implantation), 화학 증착 (CVD), 및 가열 및/또는 냉각 프로세스 등을 통해 반송될 것이다. 웨이퍼를 제작하는 처리 단계의 수는, 이러한 다양한 프로세스를 수행하기 위해 종래의 디바이스를 이용하면, 거대한 서브시스템을 갖는 다중 툴 또는 툴들이 요구될 수도 있음을 의미할 것이다. 그러나, 인스턴트 시스템은, 사이즈에서의 현저한 증가 없이 또는 새로운 토탈 시스템을 추가하기 위한 필요없이 추가적 기능 스테이션들이 추가될 수 있는 장점을 제공한다.In general, this processing chamber may have the ability to perform any of the various processes used in connection with wafer processing. For example, in the manufacture of a wafer, the wafer is typically subjected to one or more etching steps, one or more sputtering or physical deposition processes, ion implantation, chemical vapor deposition (CVD), heating and / or cooling processes, or the like. Will be returned. The number of processing steps to fabricate a wafer will mean that using conventional devices to perform these various processes may require multiple tools or tools with huge subsystems. However, the instant system offers the advantage that additional functional stations can be added without a significant increase in size or the need to add a new total system.

이러한 다양한 목적을 달성하기 위해, 웨이퍼의 이송은 챔버 설계에 대해 독립적으로 구성된다. 따라서, 챔버는 특정한 처리 능력을 갖는 챔버로서 동작하도록 설계되고, 이송 시스템은 챔버 설계에 대해 독립적으로 동작하도록 구성되고 처리 챔버로 및 처리 챔버로부터 웨이퍼를 피딩하도록 구성된다. 개시된 바람직한 실시형태에서의 이송은, 진공 벽을 통해 커플링되는 선형 및 회전 운동에 기초한 단순한 연동 암에 의존한다. 비용을 낮게 유지하는 관점에서, 챔버 설계는 모듈 방식에 기초한다. 따라서, 일 실시형태에서, 이 시스템은 3 개의 챔버를 가질 수도 있고, 또는 매칭 구조가 이용될 수 있고, 이 시스템은 6 개의 챔버를 가질 수 있다. 다른 방법으로, 매칭 구조는, 4 및 8 개의 챔버로 반복될 수도 있고 또한 다른 배수로 반복될 수 있고, 또는 상이한 수의 처리 스테이션을 갖는 모듈들이 매칭될 수도 있다.To achieve these various purposes, the transfer of wafers is configured independently of the chamber design. Thus, the chamber is designed to operate as a chamber with specific processing capabilities, and the transfer system is configured to operate independently of the chamber design and to feed the wafer into and out of the processing chamber. The transfer in the preferred embodiment disclosed relies on a simple linkage arm based on linear and rotational movements coupled through the vacuum wall. In terms of keeping costs low, the chamber design is based on a modular approach. Thus, in one embodiment, the system may have three chambers, or a matching structure may be used, and the system may have six chambers. Alternatively, the matching structure may be repeated in four and eight chambers and also in other multiples, or modules with different numbers of processing stations may be matched.

이 시스템은 확장가능하며, 또한, 장래의 프로세스 또는 애플리케이션으로서 적용될 수도 있는 기술에 대해 독립적으로 확장가능하다. 선형 웨이퍼 전송이 이용된다. 이것은, 세정실에서의 공간 이상을 요구하지 않는 작은 풋프린트의 시스템에서 높은 스루풋을 가능하게 한다. 또한, 상이한 처리 단계가 동일한 처리 플랫폼에서 구성될 수 있다.The system is extensible and also independently scalable to technologies that may be applied as future processes or applications. Linear wafer transfer is used. This enables high throughput in systems with small footprints that do not require more space in the cleaning chamber. In addition, different processing steps can be configured on the same processing platform.

본 발명의 일 양태에 따르면, 배출부 및 대기부 (atmospheric section) 를 갖는 연장된 기판 이송 챔버; 배출부 내에서 이송 챔버에 부착된 제 1 선형 트랙; 기압부에서 이송 챔버에 부착된 제 2 선형 트랙; 제 1 선형 트랙 상에서 선형으로 라이딩하는 제 1 베이스; 제 2 선형 트랙 상에서 선형으로 라이딩하는 제 2 베이스; 제 1 베이스 상에 탑재되며, 입력부로서 자기 커플링 종동부 (magnetically-coupled follower) 를 갖고, 출력부에 저속 회전을 제공하는 감속기; 제 2 베이스 상에 탑재되고 자기 구동기를 회전시키는 회전 모터로서, 상기 자기 구동기는 진공 파티션을 통해 상기 자기 커플링 종동부에 회전 운동을 전달하는, 회전 모터; 및 감속기의 출력부에 커플링된 로봇 암을 포함하는 기판 처리 시스템이 개시된다. 선형 모터는 제 2 베이스에 부착되어 선형 운동을 전달하고, 자화된 휠이 제 2 베이스에 커플링될 수도 있다. 선형 운동 인커더가 제 2 베이스에 커플링될 수도 있고, 회전 인코더가 회전 모터에 커플링될 수도 있다. 2 개의 로봇 암을 갖는 시스템에서는, 암 연장부가 로봇 암 중 하나에 커플링되어, 그 로봇 암들의 회전축이 일치하게 할 수도 있다.According to one aspect of the present invention, there is provided an apparatus comprising: an extended substrate transfer chamber having an outlet and an atmospheric section; A first linear track attached to the transfer chamber in the outlet; A second linear track attached to the transfer chamber at the atmospheric pressure; A first base linearly riding on the first linear track; A second base that rides linearly on a second linear track; A speed reducer mounted on the first base, the speed reducer having a magnetically-coupled follower as an input and providing low speed rotation to the output; A rotary motor mounted on a second base and rotating the magnetic driver, the magnetic driver transmitting a rotational motion to the magnetic coupling follower through a vacuum partition; And a robot arm coupled to an output of the reducer. The linear motor may be attached to the second base to transmit linear motion, and the magnetized wheel may be coupled to the second base. A linear motion encoder may be coupled to the second base and a rotary encoder may be coupled to the rotary motor. In a system with two robotic arms, the arm extension may be coupled to one of the robotic arms such that the axes of rotation of the robotic arms coincide.

본 발명의 또 다른 양태에 따르면, 이송 챔버 내에 로봇 암을 제공하는 단계; 진공 파티션을 통해 로봇 암에 선형 운동을 자기적으로 커플링하는 단계; 진공 파티션을 통해 로봇 암에 회전 운동을 자기적으로 커플링하는 단계; 및 배출 이송 챔버 내의 회전 운동의 속도를 감소시키는 단계를 포함하는, 배출 이송 챔버를 통해 로드로크로부터 처리 챔버로 웨이퍼을 이송하는 방법이 제공된다. 이 방법은 또한, 웨이퍼가 로드로크에 위치될 때, 웨이퍼의 중심으로 정의되는 제 1 중심 지점을 결정하는 단계; 웨이퍼가 처리 챔버에 위치될 때, 웨이퍼의 중심으로 정의되는 제 2 중심 지점을 결정하는 단계; 로봇 암의 피봇 지점의 위치를 결정하는 단계; 및 로봇 암 상에 배치된 웨이퍼가 로드로크와 처리 챔버 사이에서 오직 직선으로만 이동하도록 상기 로봇 암의 선형 및 회전 운동의 조합을 계산하는 단계를 포함할 수도 있다.According to another aspect of the invention, there is provided a method for providing a robotic arm in a transfer chamber; Magnetically coupling linear motion to the robotic arm through the vacuum partition; Magnetically coupling rotational motion to the robotic arm through the vacuum partition; And reducing the speed of rotational movement in the discharge transfer chamber, a method of transferring a wafer from a loadlock to a processing chamber through the discharge transfer chamber. The method also includes determining a first center point defined as the center of the wafer when the wafer is placed in the load lock; When the wafer is placed in a processing chamber, determining a second center point defined as the center of the wafer; Determining the position of the pivot point of the robot arm; And calculating a combination of linear and rotational movements of the robotic arm such that a wafer disposed on the robotic arm moves only in a straight line between the loadlock and the processing chamber.

본 발명에 따르면, 작은 풋프린트를 유지하면서 처리 챔버의 이용을 최대화할 수 있다. 또한, 본 발명에 따르면, 처리 스테이션의 이용을 최대화할 수 있다. 또한, 본 발명에 따르면, 이러한 설비의 로봇 공학 및 서비스를 단순화할 수 있다. 또한, 본 발명에 따르면, 처리 동안, 심지어 메인프레인의 서비스 동안 시스템의 100% 까지의 이용가능성을 포함하여, 상당한 리던던시를 제공할 수 있다.According to the present invention, it is possible to maximize the use of the processing chamber while maintaining a small footprint. In addition, according to the present invention, it is possible to maximize the use of the processing station. In addition, according to the present invention, the robotics and services of such a facility can be simplified. In addition, the present invention can provide significant redundancy, including up to 100% availability of the system during processing and even during service of the mainplane.

도 1 은 PVD 애플리케이션을 위한 종래의 클러스터 툴의 개략도.
도 2 는 종래 시스템의 특성이며, 전술한 특허 출원 (2006/0102078 A1) 에 개시된 시스템의 개략도.
도 3 은 본 발명에 따른 처리 시스템의 개략도.
도 4 는 이송 챔버를 더 명확하게 도시하기 위한 개략적 상면도 (이 도면에서는 3 개의 프로세스 스테이션 구조로 도시되어 있지만, 스테이션의 수는 오직 예시를 위해서만 사용됨).
도 5 는 로드로크로부터 이송 또는 전송 챔버로의 시스템의 세그먼트에 대한 개략도.
도 6 은 이 시스템에 대한 인케이스먼트 외부에 도시된 웨이퍼 이동 메커니즘의 개략도.
도 7 은 바람직한 실시형태에서 이용되는 트랙 및 구동 시스템의 개략도.
도 7a 는 선형 운동 어셈블리의 일 예를 나타내는 도면.
도 7b 는 선형 운동 어셈블리의 또 다른 실시형태를 나타내는, 도 4 의 라인 A-A 에 대한 단면도.
도 7c 는 대기중의 선형 트랙 및 진공 상태의 선형 트랙의 일 예를 나타내는 단면도.
도 7d 는 대기중의 선형 트랙 및 진공 상태의 선형 트랙의 또 다른 예를 나타내는 도면.
도 8 은 본 발명에 따른 4 개 스테이션의 물리 증착 (PVD) 또는 스퍼터링 시스템의 개략도.
도 9 는 본 발명에 따른 8 개 스테이션 시스템의 개략도.
도 10 은 본 발명에 따른 6 개 챔버 시스템의 개략도.
도 11a 및 도 11b 는 본 발명의 2 개의 서로 다른 실시형태의 개략도.
1 is a schematic diagram of a conventional cluster tool for PVD applications.
2 is a schematic diagram of a system that is characteristic of a conventional system and disclosed in the aforementioned patent application (2006/0102078 A1).
3 is a schematic diagram of a processing system according to the present invention;
FIG. 4 is a schematic top view for more clearly showing the transfer chamber (in this figure shown in three process station structures, but the number of stations is used for illustration only).
5 is a schematic diagram of a segment of a system from a load lock to a transfer or transfer chamber.
6 is a schematic diagram of a wafer movement mechanism shown outside of an enclosure for this system.
7 is a schematic diagram of a track and drive system used in a preferred embodiment.
7A illustrates an example of a linear motion assembly.
FIG. 7B is a cross-sectional view taken along line AA of FIG. 4 showing another embodiment of a linear motion assembly.
7C is a cross-sectional view illustrating one example of a linear track in air and a linear track in a vacuum state;
FIG. 7D shows another example of the linear track in air and the linear track in vacuum. FIG.
8 is a schematic diagram of a four station physical vapor deposition (PVD) or sputtering system in accordance with the present invention.
9 is a schematic representation of an eight station system in accordance with the present invention.
10 is a schematic representation of a six chamber system in accordance with the present invention.
11A and 11B are schematic views of two different embodiments of the present invention.

이하, 도 1 을 참조하면, 현재 일반적으로 이용되고 있는 타입의 클러스터 툴이 도시되어 있다. 일반적으로, 이러한 타입의 클러스터 툴은 중심 챔버 (22) 에 부착되어 그 주위에 방사상으로 배치된 처리 챔버 (21) 를 포함한다. 본 시스템에는, 2 개의 중심 챔버가 존재한다. 다른 시스템은 단일의 중심 챔버만을 가질 수도 있다. 불편하다는 점만 제외하면 3 개 이상의 중심 챔버를 갖는 시스템이 존재할 수 있지만, 그 대신에, 사용자는 일반적으로 다른 시스템을 획득할 것이다. 동작 시, 통상, 로봇은 각각의 중심 챔버 (22) 내에 위치한다. 로봇은 그 시스템 내에 웨이퍼를 수용하고, 중심 챔버로부터 처리 챔버로 웨이퍼를 반송하며, 또한 처리 후에는 중심 챔버로 다시 웨이퍼를 반송한다. 몇몇 종래 시스템에 있어서, 중심 로봇은 한 번에 단일의 웨이퍼 및 단일의 챔버에만 액세스할 수 있을 뿐이다. 따라서, 로봇은 단일 챔버에 웨이퍼가 존재하는 처리 동안에 접속에 있어서 관련되거나 바쁠 수 있다. 처리 동안에 스테이션을 처리하는 것에 관련된 이러한 단일 로봇의 조합은 이러한 타입의 클러스터 툴의 스루풋을 제한한다. 보다 최신 유닛은 다중 암을 갖는 로봇을 이용한다. 그 처리 챔버는 임의 형태의 프로세서를 포함할 수도 있고, 예를 들어, 물리 증착용 챔버, 화학 증착 (CVD) 용 챔버 또는 에칭용 챔버 또는 그 제조 동안에 웨이퍼에 대해 수행될 수도 있는 다른 처리 챔버를 포함할 수도 있다. 이러한 타입의 툴은 상이한 시간 기간 동안의 처리를 허용하는데, 그 이유는, 웨이퍼가 처리될 때, 로봇 암에 의한 챔버 내부로의 이송 및 챔버로부터의 제거가 다른 인자에 관계없이 처리되며 컴퓨터 제어되기 때문이다. 동일 시간 동안 정해진 시퀀스에 대해 처리를 설정할 수 있음은 명확하다.Referring now to FIG. 1, there is shown a cluster tool of the type generally used today. In general, this type of cluster tool includes a processing chamber 21 attached to and centrally disposed around the central chamber 22. In this system, there are two central chambers. Other systems may only have a single central chamber. There may be systems with three or more central chambers except for the inconvenience, but instead the user will generally obtain another system. In operation, a robot is typically located within each central chamber 22. The robot receives the wafer in the system, conveys the wafer from the central chamber to the processing chamber, and also conveys the wafer back to the central chamber after processing. In some conventional systems, a central robot can only access a single wafer and a single chamber at a time. Thus, the robot can be involved or busy in connection during the processing in which the wafer is in a single chamber. The combination of such a single robot involved in processing the station during processing limits the throughput of this type of cluster tool. Newer units use robots with multiple arms. The processing chamber may include any type of processor, and may include, for example, a chamber for physical vapor deposition, a chamber for chemical vapor deposition (CVD) or an chamber for etching, or another processing chamber that may be performed on a wafer during its manufacture. You may. This type of tool allows processing for different periods of time, because when the wafer is processed, transfer into the chamber by the robot arm and removal from the chamber is processed and computer controlled regardless of other factors. Because. It is clear that processing can be set for a given sequence for the same time.

이하, 도 2 를 참조하면, 각각의 챔버에 대해 챔버 내의 웨이퍼의 드웰 시간 (dwell time) 이 동일한 웨이퍼 처리용 툴이 도시되어 있다. 본 실시형태에서, 프로세서 (23) 는 선형으로 정렬되고, 이 경우에, 챔버는 서로 인접하여 또한 서로의 상부에 위치한다. 그 단부에는, 처리 중인 웨이퍼들을 일 레벨에서 다른 레벨로 이동시키는 승강기 (25) 가 존재한다. 그 입구 (26) 에서, 웨이퍼가 들어가며, 시스템을 통해 이동하더라도 일정 상태를 유지하도록 지지체 상에 위치한다. 이러한 시스템의 실시형태에서, 지지체는 웨이퍼를 프로세서의 상부 레벨로 들어올리고, 그 다음에, 웨이퍼는 그 레벨에서 처리 챔버 (23) 를 통해 차례로 순서대로 이동한다. 승강기 (25) 는 웨이퍼의 레벨을 변화시키고, 웨이퍼는 다시 다른 레벨을 따라 일 프로세서 챔버로부터 다른 챔버를 통해 이동한 다음에, 시스템 밖으로 이동한다.Referring now to Figure 2, a wafer processing tool is shown for each chamber in which the dwell time of the wafer in the chamber is the same. In this embodiment, the processors 23 are aligned linearly, in which case the chambers are located adjacent to one another and on top of each other. At its end is an elevator 25 that moves the wafers being processed from one level to another. At its inlet 26, the wafer enters and is positioned on the support to remain constant as it moves through the system. In an embodiment of such a system, the support lifts the wafer to the upper level of the processor, and the wafer then moves in sequence through the processing chamber 23 at that level. Elevator 25 changes the level of the wafer, which in turn moves from one processor chamber to another along another level and then out of the system.

이하, 도 3 을 참조하면, 처리 챔버 (31) 는 이송 챔버 (32) 를 따라 선형으로 위치한다. 웨이퍼는 EFEM (33; Equipment Front End Module) 또는 어떤 등가인 피딩 디바이스를 통해 시스템 (34) 으로 들어간다. EFEM (33) 은 FOUP (from front opening unified pod) 가 위치할 수도 있는 스테이션 (30) 을 포함한다. FOUP (도시생략) 는 하우징 또는 인클로져를 포함하고, 여기서, 웨이퍼는 처리 동작에 들어가는 것을 대기하면서 수용되며 청결한 상태를 유지한다. 또한, EFEM (33) 에는 피딩 메커니즘이 연관되어, 웨이퍼를 처리용 시스템 내에 배치하거나 처리 후에 시스템에서 임시 저장되는 웨이퍼를 제거할 수도 있다. 웨이퍼의 FOUP 는 EFEM 상에 배치되어, 여기서, 웨이퍼는 EFEM (33) 내의 FOUP 에서 웨이퍼를 들어올리며 웨이퍼를 로드로크 컴파트먼트 (35) 안으로 반송하는 블레이드에 의해 하나씩 이송됨으로써 시스템으로 들어가게 된다. 웨이퍼는 로드로크 컴파트먼트 (35) 로부터 이송 챔버 (32) 를 따라 이동하며, 이송 챔버 (32) 로부터 처리 챔버 (31) 안으로 이송된다. 기판이 처리 챔버로 들어간 후에, 기판은 그 지지 암에서 떠나고, 그 대신에 챔버 내의 기판 지지체 상에 유지된다. 이때, 밸브를 닫아서 처리 챔버의 대기를 이송 챔버의 대기로부터 분리한다. 이로 인해, 다른 처리 챔버의 이송 챔버를 오염시킴 없이 처리 챔버 내의 변화가 이루어질 수 있다. 처리 후에, 처리 챔버를 이송 챔버로부터 분리하는 밸브를 개방하고, 웨이퍼를 처리 챔버에서 제거하며, EFEM (33) 상의 FOUP 로 웨이퍼가 리턴되는 로드로크 또는 추가 처리를 위한 다른 처리 챔버로 이송 챔버 (32) 를 따라 이송시킨다. 도 3 에는, 4 개의 처리 챔버 (31) 가 도시되어 있다. 또한, 도 3 에는 4 개의 처리 전원 (37) 및 전력 분배 유닛 (36) 이 도시되어 있다. 이들은 함께 그 전력 및 그 시스템에 대한 전자기기를 각각의 개별적인 처리 챔버로 제공한다. 처리 챔버 (31) 위에는, 처리 가스 캐비넷 (38) 및 정보 처리 캐비넷 (40) 이 존재한다. 이들 유닛을 통해, 이송 챔버 (32) 를 따라 기판의 시스템 제어 움직임 안으로 키잉되는 정보 및 기판이 추후 처리를 위해 처리 챔버 안으로 이송되는지 여부가 결정된다. 또한, 이들 유닛은 처리 챔버 내에서 일어난 기록을 제공한다. 처리 동안에 챔버 내에서 이용되도록 가스가 제공된다. 본 시스템의 처리 스테이션을 통해 시스템 안으로 웨이퍼를 피딩하는 로봇 핸들링 메커니즘이 2 개의 암 시스템으로서 도시되어 있지만, 사실상 3 개 이상의 암이 존재할 수도 있고, 각각은 이송 트래블 챔버 내에서 독립적으로 또는 함께 이동하도록 설정될 수 있다.3, the processing chamber 31 is positioned linearly along the transfer chamber 32. The wafer enters the system 34 through an equipment front end module (EFEM) 33 or some equivalent feeding device. EFEM 33 includes a station 30 on which a front front unified pod (FOUP) may be located. The FOUP (not shown) includes a housing or enclosure, where the wafer is accommodated while waiting to enter a processing operation and remains clean. In addition, a feeding mechanism may be associated with the EFEM 33 to place the wafer in the processing system or to remove the wafer temporarily stored in the system after processing. The FOUPs of the wafers are placed on the EFEM, where the wafers enter the system by being lifted one by one by the blades that lift the wafers from the FOUPs in the EFEM 33 and transport the wafers into the loadlock compartment 35. The wafer moves along the transfer chamber 32 from the loadlock compartment 35 and is transferred from the transfer chamber 32 into the processing chamber 31. After the substrate enters the processing chamber, the substrate leaves its support arm and instead remains on the substrate support in the chamber. At this time, the valve is closed to separate the atmosphere of the processing chamber from the atmosphere of the transfer chamber. This allows changes in the processing chamber to be made without contaminating the transfer chamber of the other processing chambers. After the process, the valve is opened to separate the process chamber from the transfer chamber, the wafer is removed from the process chamber, and the load lock is returned to the FOUP on the EFEM 33 or to another process chamber for further processing. ) Along the side. 3, four processing chambers 31 are shown. In addition, four processing power sources 37 and a power distribution unit 36 are shown in FIG. 3. Together they provide the power and electronics for the system to each individual processing chamber. Above the processing chamber 31 is a processing gas cabinet 38 and an information processing cabinet 40. Through these units, it is determined whether the information keyed into the system control movement of the substrate along the transfer chamber 32 and whether the substrate is transferred into the processing chamber for later processing. In addition, these units provide records that occur within the processing chamber. Gas is provided for use in the chamber during processing. Although the robot handling mechanism for feeding the wafer into the system through the processing station of the present system is shown as two arm systems, in fact three or more arms may be present, each set to move independently or together within the transfer travel chamber. Can be.

시스템 내의 처리 챔버는 웨이퍼의 제조에서 설명된 것과는 상이한 프로세스를 수행할 수도 있다. 최근, 다수의 제조자는 전체 시스템이 스퍼터 또는 에칭 프로세스만을 위한 전용 시스템을 구매한다. 본질상, 4 개 이상의 스테이지 시스템이 완전히 스퍼터링 동작에 전용될 수 있도록 웨이퍼의 제조 시 충분한 스퍼터 단계 또는 에칭 단계가 존재한다. 다른 방법으로는, 웨이퍼는 일련의 동작을 통해 반송될 수 있고, 각각의 상이하지만 각각의 필요한 일련의 동작을 통해 최종 프로세스로 된다. 예를 들어, 5 개의 처리 스테이션에서, 다음 사용 중인 시퀀스를 적당히 예상할 수 있다. 제 1 처리 스테이션에서, 웨이퍼는 가스 제거 동작을 받게 될 것이고, 제 2 스테이션은 전세정 스테이션일 수 있고, 제 3 스테이션은 예를 들어 티타늄을 퇴적하는 스퍼터링 스테이션이고, 제 4 스테이션은 예를 들어 니켈 바나듐을 퇴적하는 스퍼터 스테이션이며, 제 5 스테이션은 금의 퇴적이 일어날 수 있는 스퍼터이다.The processing chamber in the system may perform a different process than described in the manufacture of wafers. Recently, many manufacturers purchase a dedicated system for the entire system only for the sputter or etching process. In essence, there are sufficient sputtering or etching steps in the manufacture of the wafer so that four or more stage systems can be fully dedicated to the sputtering operation. Alternatively, the wafer can be conveyed through a series of operations, resulting in a final process through each different but each required series of operations. For example, at five processing stations, one can anticipate the next busy sequence as appropriate. In the first processing station, the wafer will be subjected to a degassing operation, the second station can be a preclean station, the third station is for example a sputtering station for depositing titanium, and the fourth station is for example nickel A sputter station for depositing vanadium, and a fifth station is a sputter for depositing gold.

이하, 도 4 를 참조하면, 상부 커버가 제거된 3 개의 스테이션 시스템이 도시되어 있다. 도 4 와 관련한 목적은 이송 챔버 (32) 의 보다 명확한 이해를 제공하기 위한 것이다. 처리될 웨이퍼는 로드로크 (35) 에서 본 시스템으로 들어간다. 로드로크 (35) 는 듀얼 레벨 로드로크이며, 2 개의 웨이퍼를 동시에 유지 및 처리할 수 있다. 일 레벨의 로드로크는 하부 레버 상에 있고, 다른 레벨의 로드로크는 상부 레벨 상에 있다. 로드로크에서, 본 시스템으로 들어가는 웨이퍼는 진공 또는 제어된 환경으로 들어간다. 또한, 처리된 웨이퍼는 그 트래블 동안에 로드로크 (35) 를 통과하여 본 시스템을 떠나고, 진공 상태나 본 시스템의 다른 제어된 상태를 떠나고, FOUP (도 4 에는 도시생략) 안으로 리턴된다. 일단 웨이퍼가 비진공 상태로부터 진공 상태로 그 전이를 완료하면, 웨이퍼는 이송 챔버 (32) 안으로 이동하는 암 (41) 상에서 들어올려진다. 그러한 일 암을 볼 수 있지만, 다른 암은 좌측에 있는 제 1 처리 챔버의 엘리먼트에 의해 부분적으로 덮인다. 보이는 암은 이 처리 챔버 (31) 안으로 웨이퍼를 전달하는 것으로 도시되어 있다 (또는 다른 방법으로는 이 챔버에서 처리된 웨이퍼를 제거하는 것으로 도시됨). 암 (41) 은 선형 레일 (43) 을 통해 이송 챔버 안쪽을 따라 이동한다. 본 실시형태에서, 이송 챔버 (32) 내의 레일은 이송 챔버 (32) 의 플로어 위에 있는 지지 암을 유지한다. 또한, 도 4 에서 보이지 않는 구동 메커니즘은 이송 챔버 (32) 의 인클로져의 벽을 통해 진공 상태 외부에 있는 것으로서 행동한다. 이는 일반적으로 챔버 안으로 또는 로드로크 (35) 안으로 암을 연장시킬 때 회전 움직임을 제공할 뿐만 아니라, 암 (41) 에 선형 움직임을 제공한다. 따라서, 그 암들을 이용하여, 이송 챔버 (32) 안으로 또는 그 밖으로 웨이퍼를 이동시키거나, 처리 챔버 (31) 안으로 또는 그 밖으로 웨이퍼를 이동시키거나, 로드로크 챔버 (35) 안으로 또는 그 밖으로 웨이퍼를 이동시킨다. 이러한 챔버의 베이스와의 접촉을 회피함으로써, 더 적은 입자가 생성되어, 보다 불순물이 없거나 입자가 없는 상태에서 환경을 유지한다. 이러한 이송 시스템의 추가 상세는 다음에 오는 도면을 참조하여 도시 및 설명될 것이다. 또한, 도 4 에는 2 개의 암이 도시되어 있지만, 시스템이 레일 상에서 2 개보다 많거나 적은 암을 가질 수 있고 어느 때라도 3 개 이상의 웨이퍼 이송 디바이스를 처리할 수 있음을 쉽게 알 수 있다.Referring now to FIG. 4, there is shown a three station system with the top cover removed. The purpose in connection with FIG. 4 is to provide a clearer understanding of the transfer chamber 32. The wafer to be processed enters the present system at the load lock 35. The load lock 35 is a dual level load lock, and can hold and process two wafers at the same time. One level of load lock is on the lower lever and the other level of load lock is on the upper level. In the loadlock, the wafer entering the system enters a vacuum or controlled environment. The processed wafer also passes through the loadlock 35 during its travel, leaves the system, leaves a vacuum or other controlled state of the system, and returns into a FOUP (not shown in FIG. 4). Once the wafer has completed its transition from the non-vacuum state to the vacuum state, the wafer is lifted on the arm 41 moving into the transfer chamber 32. While one such arm can be seen, the other arm is partially covered by the element of the first processing chamber on the left. The visible arm is shown as transferring the wafer into this processing chamber 31 (or otherwise shown as removing the processed wafer from this chamber). The arm 41 moves along the transfer chamber inside via the linear rail 43. In this embodiment, the rails in the transfer chamber 32 hold the support arms above the floor of the transfer chamber 32. In addition, the drive mechanism, which is not visible in FIG. 4, acts as being outside the vacuum state through the walls of the enclosure of the transfer chamber 32. This generally provides not only rotational movement when extending the arm into the chamber or into the loadlock 35, but also provides linear movement to the arm 41. Thus, the arms are used to move the wafer into or out of the transfer chamber 32, to move the wafer into or out of the processing chamber 31, or to move the wafer into or out of the loadlock chamber 35. Move it. By avoiding contact with the base of such a chamber, fewer particles are produced, maintaining the environment in the absence of more impurities or particles. Further details of this transport system will be shown and described with reference to the following figures. In addition, although two arms are shown in FIG. 4, it is readily apparent that the system can have more or less than two arms on the rail and can handle three or more wafer transfer devices at any time.

본 발명의 방법에 따르면, 지지 암 (41) 은 웨이퍼가 직선 라인에서만 이동하는 방식으로 회전 및 선형 운동의 조합을 이용하여 동작한다. 즉, 도 4 에 도시된 바와 같이, 암 (41) 은 이중 헤드 화살표 A 로 예시된 선형 운동과 이중 헤드 화살표 B 에 의해 예시된 회전 운동의 조합을 이용하여 이동한다. 그러나, 파선 BLl, BLm 및 BL 에 의해 도시된 것과 같이, 웨이퍼의 중심이 직선 라인 운동을 따르도록 운동이 프로그래밍된다. 이로 인해, 로드로크 (35) 와 챔버 (31) 의 모든 개구가 챔버의 직경보다 단지 약간 크게 된다. 또한, 이로 인해, 임의의 상황에 대해 예를 들어, 사용자 인터페이스 UI (도 3) 를 통해, 암 (41) 의 조합된 선형 아치형 운동이 제어기에 의해 활성화되도록 프로그래밍할 수 있으므로, 임의 타입 및 임의 조합의 챔버를 이송 챔버 (32) 에 부착할 수 있게 된다.According to the method of the present invention, the support arm 41 operates using a combination of rotational and linear motions in such a way that the wafer only moves in a straight line. That is, as shown in FIG. 4, the arm 41 moves using a combination of the linear movement illustrated by the double head arrow A and the rotational movement illustrated by the double head arrow B. FIG. However, as shown by dashed lines BLl, BLm and BL, the motion is programmed such that the center of the wafer follows a straight line motion. Due to this, all the openings of the load lock 35 and the chamber 31 are only slightly larger than the diameter of the chamber. This also allows for any situation, for example, via the user interface UI (FIG. 3), so that the combined linear arcuate motion of the arm 41 can be programmed to be activated by the controller, so that any type and any combination Can be attached to the transfer chamber 32.

본 발명의 일 방법에 따르면, 다음 프로세스는 제어기에 의해 실행되는 조합된 선형 아치형의 암 운동을 계산하도록 구현된다. 로드로크에 위치하는 것으로서 웨이퍼의 중심 위치가 결정된다. 부착된 처리 챔버의 각각 내부에 위치하는 것으로서 웨이퍼의 중심이 결정된다. 각각의 암의 피봇 지점이 결정된다 (아래에서 설명되는 바와 같이, 몇몇 실시형태에서 양쪽 암의 피봇 지점을 일치시킬 수도 있음). 이송 순서, 즉, 로드로크와 오직 하나 이상의 챔버 사이에서 각각의 웨이퍼를 이동시킬 필요가 있는지 여부가 결정된다. 이 값은 UI 를 이용하여 제어기로 프로그래밍될 수 있다. 그 다음에, 각각의 암 상에 위치한 웨이퍼가 로드로크와 챔버에 대해 결정된 피봇 지점과 중심 사이에서 오직 직선에서 이동하도록, 각각의 암의 선형 및 회전 운동이 계산된다.According to one method of the invention, the following process is implemented to calculate the combined linear arcuate arm motion executed by the controller. The central position of the wafer is determined as being in the load lock. The center of the wafer is determined as being located inside each of the attached processing chambers. Pivot points of each arm are determined (as described below, in some embodiments, the pivot points of both arms may coincide). The order of transfer, ie, whether it is necessary to move each wafer between the loadlock and only one or more chambers, is determined. This value can be programmed into the controller using the UI. Then, the linear and rotational motion of each arm is calculated so that the wafer located on each arm moves only in a straight line between the pivot point and the center determined for the loadlock and chamber.

부분적으로는, 암 (41) 의 조합된 선형 아치형 운동을 단순화하기 위해, 일 실시형태에서는 본 발명의 다음 특징이 구현된다. 도 4 에서, 지지 암 (41) 들 중 하나, 구체적으로는, 도 4 에서 완전히 노출되는 암 (41) 은 암 연장부 (41') 에 연결되지만, 다른 암 (41) 은 내부 구동 및 지지 메커니즘 (45; 또한 도 5 및 도 6 참조) 에 직접 연결된다. 도시된 실시형태에서, 암 연장부 (41') 는 고정되는데, 즉, 구동 및 지지 메커니즘 (45) 의 선형 운동을 따를 뿐이지만, 회전될 수는 없다. 오히려, 회전 운동은 오직 암 연장부 (41') 의 단부에 고정된 암 (41) 에게 부과된다. 또한, 도시된 실시형태에서, 양쪽 암 (41) 의 회전 또는 피봇 지점의 중심이 일치하게 되도록, 즉, 도시된 바와 같이, 직선인 파선 BLm 이 양쪽 암 (41) 의 회전 또는 피봇 지점의 중심을 통과하도록, 암 연장부 (41') 가 고정된다. 또한, 도 5 의 실시형태에 도시된 바와 같이, 양쪽 암 (41) 의 회전 중심이 다른 암 위에서 정확히 일치하도록, 암 (41) 은 선형 방향으로 이동할 수도 있다. 이러한 설계를 통해, 2 개의 암 (41) 을 동일하게 제조할 수 있는데, 그 이유는, 동일 피봇 지점 중심선으로부터 동일하게 조합된 선형 아치형 운동을 따르기 때문이다.In part, to simplify the combined linear arcuate motion of the arm 41, in one embodiment the following features of the invention are implemented. In FIG. 4, one of the support arms 41, specifically the arm 41 fully exposed in FIG. 4, is connected to the arm extension 41 ′, while the other arm 41 has an internal drive and support mechanism. 45 (see also FIGS. 5 and 6). In the illustrated embodiment, the arm extension 41 'is fixed, i.e., only follows the linear movement of the drive and support mechanism 45, but cannot be rotated. Rather, the rotational movement is imposed on the arm 41 fixed only to the end of the arm extension 41 '. Further, in the illustrated embodiment, the straight dashed line BLm is centered at the center of rotation or pivot point of both arms 41 such that the centers of rotation or pivot points of both arms 41 coincide, that is, as shown. To pass through, the arm extension 41 'is fixed. In addition, as shown in the embodiment of FIG. 5, the arm 41 may move in a linear direction so that the center of rotation of both arms 41 exactly matches the other arm. With this design, the two arms 41 can be manufactured identically, because they follow the same combined linear arcuate motion from the same pivot point centerline.

이하, 도 5 를 참조하면, 도 5 는 내부 엘리먼트를 폐쇄하는 커버 없이, 로드로크 (35) 에서 시작하여 이송 챔버 (32) 의 개시점으로 계속되며, 제 1 처리 챔버 (31) 를 포함하는, 시스템 (34) 의 부분들을 도시한다. 도 5 에는, 로드로크 (35) 상의 웨이퍼 (42) 가 암 (41) 상에 유지되는 것으로 도시되어 있다. 다른 암 (41) 은 처리 챔버 (31) 안으로 연장되는 것으로 도시되어 있다. 도시된 것과 같이, 독립적으로 행동하며 상이한 레벨에 있을 수도 있는 암들은 동시에 상이한 영역으로 연장될 수 있다. 그 암들은 로드로크로부터 시스템 안으로 이송 챔버 (32) 를 따라 웨이퍼를 이동시킨 다음에, 시스템 주위에서 처리 챔버로부터 처리 챔버로 이동시킨다. 결국에는, 그 암들은 웨이퍼를 처리 후에 이송 챔버를 따라 로드로크 (35) 안으로 이동시킨 다음에, 시스템 (34) 밖으로 이동시킨다. 그 다음에, 처리 완료 시, 웨이퍼는, 처리된 웨이퍼가 수집되는 로드로크로부터 FOUP 안으로 다시 전달될 수도 있다. 로드로크 또는 처리 챔버 내의 웨이퍼는 암 (41) 과 연관된 지지체 표면 상에서 들어올려짐으로써 이송된다. 지지체 표면에 있는 리프트 핀을 통해 웨이퍼를 들어올려, 웨이퍼 아래의 암 액세스가 암으로 하여금 웨이퍼를 들어올리게 하며 시스템에서의 다음 단계를 위해 웨이퍼를 이동시키게 하는 것을 허용한다. 다른 방법으로는, 이송 동안에 웨이퍼 아래에서 슬라이드하며 웨이퍼를 지지하는 선반의 성질을 갖는 구조를 사용하여, 웨이퍼를 지지 및 유지할 수도 있고, 챔버나 컴파트먼트에서 가져오거나 꺼낼 때에 암 (41) 으로부터 웨이퍼를 수용 및 해제할 수도 있다. 그 암들은 접촉 없이 서로 위와 아래를 통과하도록 배치되고, 서로를 통과할 수 있다. 그 암들은 내부 구동 및 지지 메커니즘 (45) 에 접속된다. 구동 및 지지 메커니즘 (45) 에는, 구동 및 지지 메커니즘이 이송 챔버 (32) 내에서 이동하는 선형 구동 트랙이 제공된다. 구동 및 지지 메커니즘 (45) 의 움직임은 모터와 같은 외부 구동기에 의해 일어난다. 일 형태의 구동으로 인해, 구동 및 지지 메커니즘 (45) 이 구동 트랙 (46) 을 따라 선형으로 이동하게 된다. 다른 형태의 구동으로 인해, 암 (41) 의 회전이 웨이퍼 (42) 를 그 시스템을 통해 그 시스템 안으로 이동시키는 동안에 이송 챔버 (32) 로부터 로드로크 (35) 또는 처리 챔버 (31) 안으로 연장시킬 수 있다. 각각의 암 (41) 이 다른 암과는 독립적으로 이동하며 행동하도록 각각의 구동 및 지지 메커니즘이 포지셔닝 이네이블을 독립적으로 행하는 개별 레일 (47; 레일 (47) 은 도 6 에 명확히 도시되어 있음) 이 구동 트랙 (46) 내에 있다. 처리 챔버 안으로 웨이퍼를 이동시키는 것은 그 선형 구동 경로에서 챔버 안으로 변하는 성질을 갖는다. 이는, 바람직한 실시형태에서 웨이퍼가 2 가지 형태의 운동을 동시에 경험하고 있기 때문에 일어난다. 이는 동시에 선형으로 이동되며 회전된다. 이송 챔버 (32) 의 진공 상태에서 이러한 메커니즘을 구동하는 다른 형태의 구동 메커니즘 또는 외부 모터의 이용은 둘러싸인 진공 영역 내의 원하지 않는 입자를 줄인다.Referring now to FIG. 5, FIG. 5 begins with the loadlock 35 and continues to the starting point of the transfer chamber 32, without a cover closing the inner element, comprising a first processing chamber 31, Parts of the system 34 are shown. In FIG. 5, the wafer 42 on the load lock 35 is shown to be held on the arm 41. The other arm 41 is shown extending into the processing chamber 31. As shown, cancers that act independently and may be at different levels may extend to different regions at the same time. The arms move the wafer from the load lock along the transfer chamber 32 into the system and then from the processing chamber to the processing chamber around the system. Eventually, the arms move the wafer along the transfer chamber into the loadlock 35 after processing and then out of the system 34. Then, upon completion of processing, the wafer may be transferred back into the FOUP from the loadlock from which the processed wafer is collected. The wafer in the loadlock or processing chamber is transferred by being lifted on the support surface associated with the arm 41. Lifting the wafer through the lift pins on the support surface allows arm access below the wafer to cause the arm to lift the wafer and move the wafer for the next step in the system. Alternatively, a structure having the property of a shelf that slides under the wafer and supports the wafer during transfer may be used to support and hold the wafer, and from the wafer 41 when brought in or taken out of the chamber or compartment. It can also accept and release. The arms are arranged to pass above and below each other without contact, and can pass through each other. The arms are connected to an internal drive and support mechanism 45. The drive and support mechanism 45 is provided with a linear drive track through which the drive and support mechanism moves in the transfer chamber 32. Movement of the drive and support mechanism 45 is caused by an external driver such as a motor. One type of drive causes the drive and support mechanism 45 to move linearly along the drive track 46. Due to other forms of driving, rotation of the arm 41 may extend from the transfer chamber 32 into the loadlock 35 or the processing chamber 31 while moving the wafer 42 through the system into the system. have. Individual rails 47 (rail 47 are clearly shown in FIG. 6), in which each drive and support mechanism independently performs positioning enable, so that each arm 41 moves and acts independently of the other arms. In the drive track 46. Moving the wafer into the processing chamber has the property of changing into the chamber in its linear drive path. This occurs because in a preferred embodiment the wafer is experiencing two types of motion simultaneously. It is moved linearly and rotated at the same time. The use of other types of drive mechanisms or external motors to drive these mechanisms in the vacuum of the transfer chamber 32 reduces unwanted particles in the enclosed vacuum area.

이하, 도 6 을 참조하면, 본 발명의 바람직한 실시형태에서 사용되는 구동 시스템이 도시되어 있다. 도 6 에서, 구동 트랙 (46) 의 레일 (47) 은 각각 독립적으로 보일 수 있다. 또한, 지지 암 (41) 들 중 하나의 지지 암 상에 웨이퍼 (42) 가 있는 것으로 도시되어 있다. 도 6 에서 다른 지지 암은 단순히 연장되는 것으로 도시되어 있다. 구동 및 지지 메커니즘 (45) 은 각각 레일 (47) 들 중 하나의 레일 상에서 라이딩한다. 이로 인해, 상이한 레벨에서 암 (41) 의 포지셔닝이 용이해진다. 구동 및 지지 메커니즘 (45) 각각의 베이스에는 자기 헤드 또는 자기 커플링 종동부 (48; magnetically-coupled follower) 가 위치한다. 자기 헤드 (48) 로부터 이격되어 자기 구동기 (50) 가 위치한다. 자기 헤드 (48) 는 이송 챔버의 진공 상태 내에 위치하고, (도 7 에 53 으로서 도시된) 진공 챔버의 벽은 자기 헤드 (48) 와 구동기 (50) 사이에서 자기 헤드 (48) 각각 아래를 통과한다. 따라서, 구동기 (50) 는 이송 챔버 (32) 의 진공 벽 외부에 있다. 상술한 바와 같이, 암 (41) 은 처리 시스템 안으로 처리 시스템을 통해 웨이퍼 (42) 를 이동시키고, 암 (41) 은 서로에 대해 독립적으로 이동한다. 이들 암 (41) 은 구동기 (50) 및 자기 헤드 (48) 를 포함한 자기 커플러 장치에 의해 구동된다. 커플러는 선형 운동과 회전 운동 모두를 암 (41) 에 부여한다. 구동기 (50) 는 진공 상태의 외부에 위치하며 레일 시스템의 양쪽에 나타나는 외부 레일 (51) 상에서 라이딩한다. 일 세트는 마주보는 관계에서 보이지만, 다른 꼭 맞는 레인은 반대 측에 나타난다. 암의 회전은 자기 커플러를 통해 이송되고, 회전 모터 (52) 에 의해 구동된다. 도 6 에서 자기 커플링이 선형 움직임 및 회전을 위해 이용되는 것으로서 도시되어 있지만, 별도의 자기 커플러와 구동기를 이용할 수도 있다는 것을 알 수 있다. 따라서, 동일한 커플러를 통해 선형 및 회전 움직임을 이송하는 것이 바람직하지만, 선형 움직임을 위해 별도의 커플러를 이용하고 회전 운동을 위해 다른 세트를 이용하는 것도 가능하다.Referring now to Figure 6, there is shown a drive system used in a preferred embodiment of the present invention. In FIG. 6, the rails 47 of the drive tracks 46 can each be seen independently. Also shown is a wafer 42 on one of the support arms 41. In Figure 6 the other support arm is shown as simply extending. The drive and support mechanism 45 rides on one of the rails 47, respectively. This facilitates positioning of the arms 41 at different levels. At the base of each of the drive and support mechanisms 45 is a magnetic head or a magnetically-coupled follower 48. The magnetic driver 50 is positioned away from the magnetic head 48. The magnetic head 48 is located within the vacuum of the transfer chamber, and the wall of the vacuum chamber (shown as 53 in FIG. 7) passes under each of the magnetic heads 48 between the magnetic head 48 and the driver 50. . Thus, the driver 50 is outside the vacuum wall of the transfer chamber 32. As described above, the arm 41 moves the wafer 42 through the processing system into the processing system, and the arms 41 move independently of each other. These arms 41 are driven by a magnetic coupler device that includes a driver 50 and a magnetic head 48. The coupler imparts both linear and rotational motion to the arm 41. The driver 50 is located outside of the vacuum and rides on the outer rail 51 that appears on both sides of the rail system. One set is seen in the opposite relationship, while the other tight lanes appear on the opposite side. Rotation of the arm is conveyed through the magnetic coupler and driven by the rotary motor 52. Although magnetic coupling is shown in FIG. 6 as being used for linear movement and rotation, it can be seen that separate magnetic couplers and drivers may be used. Thus, it is desirable to transfer linear and rotational movements through the same coupler, but it is also possible to use separate couplers for linear movements and other sets for rotational movements.

처리 스테이션 (31) 에 있는 스톱을 포함한 이송 챔버 (32) 를 통해 웨이퍼를 이동 및 조작하는데 이용될 수도 있는 일 타입의 암은 스카라 (selective compliant articulated assembly robotic arm) 로서 설명된 약칭인 SCARA 로봇으로 지칭된다. SCARA 시스템은 그 시스템이 대체하게 될 데카르트 시스템보다 고속이며 명료한 경향이 있다.One type of arm that may be used to move and manipulate a wafer through a transfer chamber 32 including a stop at a processing station 31 is referred to as a SCARA robot, abbreviated as described as a selective compliant articulated assembly robotic arm. do. SCARA systems tend to be faster and clearer than Cartesian systems that they will replace.

또한, 자기 구동 시스템과 관련하여 부하 (load) 팩터를 줄이고/줄이거나 제거하기 위해, 운동 커플링 자석에 의해 생성된 인력을 줄이게 될 반발 자석을 포함할 수 있다. 회전 및 선형 운동을 진공 상태 안으로 결합하는 자석은 상당한 양의 인력을 갖는다. 이는 그 부분을 지지하는 기계 메커니즘에 부하를 가한다. 고부하는 보다 짧은 베어링 수명과 보다 많은 입자 생성을 의미한다. 서로 반발하는 별도의 장치에 또는 자기 커플러에 위치한 자석을 이용함으로써, 인력을 줄일 수 있다. 사실상, 자기 커플러 내부에서, 가장 안쪽의 자석은 커플링 강성도 (stiffness) 를 달성하는데 있어서 중요하지 않다. 그러나, 이들 내부 자석을 이용하여, 커플러의 직경 주위의 교번하는 N-S 위치에 배치된 인력에서 이용되는 커플링 자석으로 반발력을 생성할 수 있다.It may also include a repulsive magnet that will reduce the attraction created by the kinetic coupling magnet to reduce and / or eliminate the load factor in connection with the magnetic drive system. Magnets that combine rotational and linear motion into a vacuum have a significant amount of attraction. This puts a load on the mechanical mechanism that supports the part. Higher loads mean shorter bearing life and more particle generation. By using magnets located in separate devices that repel each other or in magnetic couplers, the attraction force can be reduced. In fact, inside the magnetic coupler, the innermost magnet is not important in achieving coupling stiffness. However, these internal magnets can be used to generate a repelling force with the coupling magnets used in the attraction force arranged in alternating N-S positions around the diameter of the coupler.

물론, 둘러싸인 챔버 내의 입자 먼지에 관심이 없으면, 구동 메커니즘은 둘러싸인 챔버 내에 포함될 수도 있다는 것을 알 수 있다.Of course, it can be appreciated that if there is no interest in particle dust in the enclosed chamber, the drive mechanism may be included in the enclosed chamber.

이하, 도 7 을 참조하면, 커버 없이 추적 및 구동 시스템의 측면도가 도시되어 있다. 도 7 에서, 진공 벽 또는 진공 파티션 (53) 은 암 (41) 의 위치를 구동 및 제어하는 자기 커플러 (48 및 50) 사이의 위치에 있는 것으로 도시되어 있다. 구동 트랙 (46) 은 레일 (47) 을 둘러싸고, 이 레일 (47) 은 외부 레일 (51) 에 의해 부여된 선형 운동을 제공하여 메커니즘 (45) 을 구동 및 지지 메커니즘 (45) 에 제공함으로써 암 (41) 에 제공한다. 회전 운동은 회전 모터 (52) 에 의해 부여된다. 도 7 에서, Va 로 표시된 측면은 진공 상태에 있지만, At 로 표시된 측면은 대기 상태에 있다. 도 7 에 도시된 바와 같이, 자기 커플러 (50) 는 회전 모터 (52) 에 의해 구동되고, 커플러 (48) 로 하여금 진공 파티션 (53) 을 가로지르는 자기 커플링으로 인한 동일 회전 운동을 따르게 한다. 그러나, 자기 커플링에서의 히스테리시스로 인해, 암의 회전 운동의 정확도는 열화될 수도 있다. 사실상, 암의 길이로 인해, 커플러 (48 내지 50) 내의 작은 각도 에러는 암 (41) 의 단부에 위치하는 웨이퍼의 상당한 변위를 일으킬 수도 있다. 또한, 암의 길이 및 중량으로 인해, 또한, 암이 웨이퍼를 지지하는지 여부에 따른 중량의 변화로 인해, 과도 운동이 허용 가능하지 않은 시간 길이 동안 지속될 수도 있다. 이들 문제를 회피하기 위해, 감속 기어 (55; 종종, 속도 감속기 또는 기어 감속기로 지칭됨) 는 커플러 (48) 와 회전 커플러 (56) 또는 암 (41) 사이에 개재된다. 기어 감속기 (55) 는 자기 커플러 (48) 의 회전을 그 입력으로 갖고, 보다 느린 회전 속도로 출력을 제공하여 모터 (52) 의 회전 속도보다 느린 회전 속도로 암 (41) 을 작동시킨다. 본 특정 예에서, 기어 감속기는 50 : 1 의 감속 비를 갖도록 설정된다. 이는 암 (41) 의 각도 배치의 정확를 크게 증가시키고, 과도 운동을 줄이며, 당해 기술분야의 구동 어셈블리의 관성 모멘트를 줄인다.Referring now to FIG. 7, a side view of the tracking and drive system without a cover is shown. In FIG. 7, the vacuum wall or vacuum partition 53 is shown to be in a position between the magnetic couplers 48 and 50 that drive and control the position of the arm 41. The drive track 46 surrounds the rail 47, which provides linear motion imparted by the outer rail 51 to provide the mechanism 45 to the drive and support mechanism 45, thereby providing an arm ( 41). Rotational motion is imparted by the rotary motor 52. In Fig. 7, the side marked Va is in a vacuum state, but the side marked At is in a standby state. As shown in FIG. 7, the magnetic coupler 50 is driven by the rotary motor 52, causing the coupler 48 to follow the same rotational motion due to the magnetic coupling across the vacuum partition 53. However, due to hysteresis in magnetic coupling, the accuracy of the rotational motion of the arm may deteriorate. In fact, due to the length of the arm, small angular errors in the coupler 48 to 50 may cause significant displacement of the wafer located at the end of the arm 41. In addition, due to the length and weight of the arm, and also due to the change in weight depending on whether the arm supports the wafer, the transient motion may last for an unacceptable time length. To avoid these problems, a reduction gear 55 (sometimes referred to as a speed reducer or gear reducer) is interposed between the coupler 48 and the rotary coupler 56 or arm 41. The gear reducer 55 has the rotation of the magnetic coupler 48 as its input and provides an output at a slower rotational speed to operate the arm 41 at a rotational speed slower than the rotational speed of the motor 52. In this particular example, the gear reducer is set to have a deceleration ratio of 50: 1. This greatly increases the accuracy of the angular placement of the arms 41, reduces transient motion, and reduces the moment of inertia of the drive assemblies of the art.

도 7 에서, 감속 기어 어셈블리 (55) 는 베이스 (49) 상에 탑재된다. 베이스 (49) 는 비동력이고, 선형 레일 (47) 상에서 자유롭게 라이딩한다. 한편, 회전 모터 (52) 는 베이스 (54) 상에 탑재되고, 기계적 동력을 이용하여 선형 레일 (51) 상에서 라이딩한다. 기계적 동력은 베이스 (54) 를 선형으로 이동시키기 때문에, 자기 커플러 (50) 과 자기 종동기 (48) 사이에서 커플링되는 자기는 선형 운동을 그 자유롭게 라이딩하는 베이스 (49) 에 전달하여, 암 (41) 을 선형으로 이동시킨다. 그 결과, 이러한 구성은, 모든 동력 운동, 즉, 선형 및 회전 운동이 대기 조건에서 수행되며, 어떠한 동력 시스템도 진공 환경 내에 상주하지 않는다는 점에서 이점이 있다. 이하, 대기 상태의 동력 운동 및 진공 상태의 자유로운 비동력 운동에 대한 다양한 실시형태들을 예시로서 설명한다.In FIG. 7, the reduction gear assembly 55 is mounted on the base 49. The base 49 is non-powered and rides freely on the linear rail 47. On the other hand, the rotary motor 52 is mounted on the base 54 and rides on the linear rail 51 using mechanical power. Since the mechanical power moves the base 54 linearly, the magnet coupled between the magnetic coupler 50 and the magnetic follower 48 transmits linear motion to its freely riding base 49, thereby providing an arm ( 41) moves linearly. As a result, this arrangement is advantageous in that all power movements, ie linear and rotational movements, are carried out in atmospheric conditions, and no power system resides in a vacuum environment. Hereinafter, various embodiments of power movement in the atmospheric state and free non-power movement in the vacuum state will be described as examples.

도 7a 는 선형 운동 어셈블리의 일 예를 도시한다. 도 7a 에서, 벨트 또는 체인 드라이브는 베이스 (54) 에 연결된다. 벨트 또는 체인 (58) 은 회전자 (59) 상에서 라이딩하고, 그 회전자 (59) 중 하나에 동력을 공급하여, 화살표 C 에 의해 도시된 것과 같이, 양쪽 방향으로 운동을 부여한다. 선형 운동을 제어하기 위해, 인코더 (57a) 는 베이스 (54) 의 선형 운동을 식별하는 신호를 제어기에 송신한다. 예를 들어, 인코더 (57a) 는 선형 트랙 (46) 상에 제공된 인코딩을 판독하는 광학 인코더일 수도 있다. 또한, 회전 인코더 (47b) 는 모터 (52) 상에 제공되고, 또한 제어기에 회전 운동의 인코딩을 송신한다. 웨이퍼의 중심선이 직선에서만 움직이도록, 이들 회전 및 선형 운동의 판독을 이용하여, 암 (41) 의 회전 및 선형 운동을 제어할 수도 있다.7A shows an example of a linear motion assembly. In FIG. 7A, the belt or chain drive is connected to the base 54. The belt or chain 58 rides on the rotor 59 and powers one of the rotors 59 to impart motion in both directions, as shown by arrow C. To control the linear motion, encoder 57a sends a signal to the controller that identifies the linear motion of base 54. For example, encoder 57a may be an optical encoder that reads the encoding provided on linear track 46. In addition, a rotary encoder 47b is provided on the motor 52 and also transmits an encoding of the rotary motion to the controller. The readout of these rotational and linear motions may be used to control the rotational and linear motion of the arm 41 so that the centerline of the wafer moves only in a straight line.

도 7b 는 선형 운동 어셈블리의 다른 실시형태를 도시한 도 4 의 선 A-A 근방의 단면도이다. 도 7c 에서, 구동 트랙 (46) 은 레일 (47) 을 지지하고, 이 레일 (47) 상에는 휠 (61 및 62) 이 라이딩한다. 이들 휠은 개선된 인력을 제공하도록 자화될 수도 있다. 휠 (61, 62) 은 베이스 (54) 에 연결되고, 이 베이스 (54) 상에 회전 모터 (52) 가 장착된다. 선형 모터 (63) 는 베이스 (54) 의하부에 장착되고, 구동 트랙 (46) 상에 장착되는 자석 어레이 (64) 와 상호 작용한다. 선형 모터 (63) 는 자석 (64) 과 상호 작용하여 페이지의 안쪽 및 바깥 쪽 방향으로 베이스 (54) 를 이동시키도록 선형 원동력을 부여한다. 베이스 (54) 의 선형 운동은 인코더 (57b) 에 의해 모니터링 및 리포팅되고, 이 인코더 (57b) 는 트랙 (46) 상에 제공되는 위치/운동 인코딩 (57c) 을 판독한다. 본 특정 실시형태에서, 인코더 (57b) 는 1 인치의 5000 분의 1 의 정밀도를 갖는다.7B is a cross-sectional view near the line A-A of FIG. 4 showing another embodiment of a linear motion assembly. In FIG. 7C, the drive track 46 supports the rails 47, on which wheels 61 and 62 ride. These wheels may be magnetized to provide improved manpower. The wheels 61, 62 are connected to the base 54, on which a rotating motor 52 is mounted. The linear motor 63 is mounted below the base 54 and interacts with the magnet array 64 mounted on the drive track 46. The linear motor 63 exerts a linear motive force to interact with the magnet 64 to move the base 54 in the inward and outward direction of the page. The linear motion of the base 54 is monitored and reported by the encoder 57b, which reads the position / movement encoding 57c provided on the track 46. In this particular embodiment, the encoder 57b has a precision of one thousandth of a thousandth of an inch.

도 7c 는 대기 상태에서의 선형 추적 및 진공 상태에서의 선형 추적의 일 예를 도시하는 단면도이다. 진공 측은 VA 로 표시되지만, 대기 측은 AT 로 표시되며, 챔버 벽 (32) 과 함께 진공 파티션 (53) 은 2 개의 측면 사이에서 분리된다. 대기 측에서, 라이더 (61) 는 선형 트랙 (47) 상에서 라이딩한다. 이 측이 대기 상태에 있으므로, 진공 측에서와 같이 입자 생성이 중요한 것은 아니다. 따라서, 라이더 (61) 는 휠을 포함할 수도 있고, 또는 단순히 테프론과 같은 슬라이딩 재료로 이루어질 수도 있다. 베이스 (54) 는 슬라이더 (61) 에 부착되고, 자기 커플러 (50) 를 회전시키는 회전 모터를 지지한다. 진공 측에서, 선형 트랙 (78) 은 슬라이딩 베어링 (73) 을 수용하도록 이루어지고, 이 슬라이딩 베어링 (73) 은 커플러 (72) 를 통해 베이스 (70) 에 부착된다. 이들은 스테인레스 스틸로 이루어질 수도 있고, 입자 생성을 최소화하도록 제조되어야 한다. 또한, 커버 (74 및 76) 는 베어링 어셈블리의 컨파인먼트 내에 생성된 임의의 입자를 유지하도록 제공된다. 베이스 (70) 는 베어링 어셈블리 너머로 연장되고, 기어 감속기 (55) 를 지지하는데, 이 기어 감속기 (55) 는 자기 종동부 (48) 에 연결된다.7C is a cross-sectional view illustrating an example of linear tracking in an atmospheric state and linear tracking in a vacuum state. The vacuum side is denoted VA, but the atmospheric side is denoted AT and the vacuum partition 53 together with the chamber wall 32 is separated between the two sides. At the atmospheric side, the rider 61 rides on the linear track 47. Since this side is in the atmospheric state, particle generation is not as important as on the vacuum side. Thus, the rider 61 may comprise a wheel or may simply be made of a sliding material such as Teflon. The base 54 is attached to the slider 61 and supports a rotating motor for rotating the magnetic coupler 50. On the vacuum side, the linear track 78 is made to receive the sliding bearing 73, which is attached to the base 70 via the coupler 72. They may be made of stainless steel and should be made to minimize particle production. In addition, covers 74 and 76 are provided to retain any particles produced within the confinement of the bearing assembly. The base 70 extends beyond the bearing assembly and supports the gear reducer 55, which is connected to the magnetic follower 48.

도 7d 는 대기 상태에서의 선형 트랙 및 진공 상태에서의 선형 트랙의 다른 예를 도시한다. 도 7d 에서, 대기 측은 도 7c 와 동일하게 구성될 수도 있다. 그러나, 오염을 최소화하기 위해, 진공 측에서는, 슬라이더 베어링 대신에 자기 부상이 이용된다. 도 7d 에 도시된 것과 같이, 능동 전자기 어셈블리 (80) 는 영구 자석 (82) 과 협력하여 자기 부상을 형성하며 베이스 (70) 의 자유로운 선형 움직임을 허용한다. 특히, 영구 자석 (82) 은 빈 공간 (84) 을 유지하고, 전자석 어셈블리 (80) 와 접촉하지 않는다. 베이스 (54) 가 슬라이더 (61) 로 선형으로 이동함에 따라, 커플러 (50) 와 종동부 (48) 간의 자기 커플링은 부상된 베이스 (70) 에 선형 운동을 부여한다. 이와 유사하게, 커플러 (50) 의 회전은 종동부 (48) 의 회전을 일으키고, 이 종동부 (48) 는 그 회전을 기어 감속기 (55) 에 전달한다.7D shows another example of a linear track in an atmospheric state and a linear track in a vacuum state. In FIG. 7D, the standby side may be configured identically to FIG. 7C. However, to minimize contamination, on the vacuum side, magnetic levitation is used instead of the slider bearings. As shown in FIG. 7D, the active electromagnetic assembly 80 cooperates with the permanent magnet 82 to form a magnetic levitation and allows free linear movement of the base 70. In particular, the permanent magnet 82 maintains an empty space 84 and does not contact the electromagnet assembly 80. As the base 54 moves linearly with the slider 61, the magnetic coupling between the coupler 50 and the follower 48 imparts linear motion to the injured base 70. Similarly, the rotation of the coupler 50 causes the rotation of the follower 48, which transmits the rotation to the gear reducer 55.

이하, 도 8 을 참조하면, 본 발명에 따른 처리 시스템이 도시되어 있다. 도 3 의 경우에서와 같이, EFEM (33) 은 처리 챔버 (31) 를 포함한 시스템 (34) 에 대한 프리젠테이션을 위해 웨이퍼를 수용 및 저장하고, 본 실시형태에서, 이 처리 챔버 (31) 는 먼저 로드로크 (35) 로 웨이퍼를 이송한 다음에 전송 또는 이송 챔버 (32) 를 따라 이송함으로써 스퍼터 퇴적이 일어나는 챔버를 도시하기 위한 것이다. 그 다음에, 처리된 웨이퍼는 이송 챔버 (32) 를 따라 로드로크 (35) 로 다시 피딩되고, 그 다음에, EFEM (33) 으로 시스템 밖으로 피딩된다.8, a processing system according to the present invention is shown. As in the case of FIG. 3, the EFEM 33 receives and stores a wafer for presentation to the system 34 including the processing chamber 31, and in this embodiment, the processing chamber 31 first It is for showing the chamber in which sputter deposition takes place by transferring the wafer to the load lock 35 and then transferring it along the transfer or transfer chamber 32. The processed wafer is then fed back to the loadlock 35 along the transfer chamber 32 and then out of the system to the EFEM 33.

이하, 도 9 를 참조하면, 본 발명에 따른 8 개의 스테이션 처리 시스템이 도시되어 있다. EFEM (33) 은 웨이퍼를 로드로크 (35) 에 피딩한다. 그 다음에, 웨이퍼는 이송 챔버 (32) 를 따라 이송 챔버 (32) 로부터 처리 챔버 (31) 로 이동한다. 도 9 에서, 이송 챔버의 양쪽 세트는 중심 영역에 위치하고, 처리 챔버 (31) 는 그 외부 측에 위치한다. 도 10 에서, 일 세트의 처리 챔버가 다음 세트의 복제본이 되도록 처리 섹션 모두가 정렬된다. 이와 같이, 본 시스템의 처리 챔버는 병렬로 정렬된다.Referring now to Figure 9, eight station processing systems in accordance with the present invention are shown. EFEM 33 feeds the wafer to loadlock 35. The wafer then moves along the transfer chamber 32 from the transfer chamber 32 to the processing chamber 31. In FIG. 9, both sets of transfer chambers are located in the central region and the processing chamber 31 is located on its outer side. In FIG. 10, all of the processing sections are aligned such that one set of processing chambers is a next set of replicas. As such, the processing chambers of the present system are aligned in parallel.

다른 변형예가 용이하게 가능하며 쉽게 고안된다. 예를 들어, 도 9 및 도 10 에 도시된 것과 같이 처리 챔버를 정렬하는 대신에, 처리 챔버는 일 세트가 다른 세트 위에 위치하거나 일 세트가 다른 세트 다음에 위치할 수 있다. 일 세트가 다른 세트 다음에 정렬되면, 제 2 세트가 제 1 세트 다음 라인에 계속되거나 제 2 세트가 제 1 세트와 일정 형태의 각도로 설정될 수 있도록, 그 세트들을 정렬할 수 있다. 이송 챔버가 챔버의 각 측에 웨이퍼를 피딩할 수 있으므로, 2 개 세트의 프로세서는 단일 이송 챔버 주위에 설정되어 동일 이송 챔버에 의해 피딩될 수 있다 (이전 도면들을 참조하여 설명된 것과 같이 참조부호가 동일 항목을 지정하는 도 11a 참조. 도 11a 및 도 11b 에는 상술한 것과 같이 이송 챔버 (32) 에서 처리 챔버 (31) 를 분리하는 밸브 (39) 의 표시가 부가되어 있다는 것에 주목하자). 제 2 세트의 프로세서가 제 1 세트의 프로세서의 연속이면, 종종 시스템을 따라 부가적인 로드로크를 포지셔닝하는 것에 대한 이점이 존재할 수 있다. 물론, 멀리 있는 단부에 EFEM 을 부가하고 EFEM 앞에 로드로크를 배치하여, 웨이퍼가 일 단부로 들어가며 다른 단부에서 나가는 직선으로 이동할 수 있도록 하는 것이 가능하다 (도 11b 참조, 또한 참조부호는 이전 도면들에서와 같이 동일 항목을 지정함). 후자의 경우에, 어느 한쪽 또는 양쪽 단부(들)로 들어가거나 나가도록 웨이퍼를 프로그래밍할 수 있다. 또한, 처리 챔버 사이에서 간격을 두고 또는 불규칙한 간격으로 이송 챔버를 따라 처리 챔버를 배치하는 것도 가능하다. 본 형태에 있어서, 그 주요 특징은, 시스템에 대한 컴퓨터 제어에 의해 지시된 것과 같이 필요에 따라 개별 처리 챔버로 웨이퍼를 피딩할 수 있도록 처리 챔버를 포지셔닝하는 것이 될 것이다.Other variations are readily possible and contemplated. For example, instead of aligning the processing chambers as shown in FIGS. 9 and 10, the processing chambers may be located one set above another or one set after another. If one set is aligned after another set, the sets can be aligned so that the second set continues on the line following the first set or the second set can be set at some angle with the first set. Since the transfer chamber can feed wafers on each side of the chamber, two sets of processors can be set around a single transfer chamber and fed by the same transfer chamber (as described with reference to the previous figures). See Fig. 11A, which designates the same items, note that Figs. 11A and 11B are provided with an indication of a valve 39 separating the processing chamber 31 from the transfer chamber 32 as described above). If the second set of processors is a continuation of the first set of processors, there may often be an advantage to positioning additional load locks along the system. Of course, it is possible to add an EFEM at the far end and place a loadlock in front of the EFEM so that the wafer can move in a straight line entering and exiting one end (see FIG. 11B, also referred to in the previous figures). And specify the same item as). In the latter case, the wafer can be programmed to enter or exit either or both end (s). It is also possible to arrange the processing chambers along the transfer chamber at intervals or at irregular intervals between the processing chambers. In this aspect, the main feature would be to position the processing chamber to feed the wafer into the individual processing chamber as needed, as indicated by the computer control of the system.

챔버들이 진공 상태 하에 있는 것으로서 설명되었지만, 사실상, 몇몇 경우에는, 제한된 영역에 일정 가스 또는 다른 유체를 포함하는 것에 대한 이점이 존재할 수 있다. 따라서, 본원에서 이용되는 용어 진공은, 예를 들어, 전체 시스템에서 이용될 수도 있는 특수 가스를 포함하도록 자기 제한된 환경으로서 해석되어야 한다.Although the chambers have been described as being under vacuum, in fact, in some cases, there may be an advantage to including a certain gas or other fluid in a limited area. Thus, the term vacuum, as used herein, should be interpreted as a self-limiting environment to include, for example, special gases that may be used in the overall system.

도 1 에서, 클러스터 툴은 7 개의 처리 챔버를 포함한다. 도 9 에서, 개시된 시스템은 8 개의 챔버를 포함한다. 주변 장치를 갖는 도 1 의 툴의 전체 풋프린트는 대략 38 m2 이다. (추가 처리 챔버 및 주변 장치를 갖는) 도 9 의 툴의 전체 풋프린트는 23 m2 이다. 이와 같이, 보다 많은 챔버를 갖는 시스템에 대한 풋프린트는 본 발명에 따른 선형 배치가 이용되는 경우에 상당히 작다. 대규모 측정에서, 이러한 개선은 도 1 에 도시된 타입의 시스템에서 행해지는 것과 같이 중심 섹션을 이용하는 것에 비해 도 9 에서 이송 챔버 (32) 로서 도시되어 있는 개선된 피딩 시스템을 이용하여 달성된다.In FIG. 1, the cluster tool includes seven processing chambers. In FIG. 9, the disclosed system includes eight chambers. The overall footprint of the tool of FIG. 1 with a peripheral device is approximately 38 m 2 . The overall footprint of the tool of FIG. 9 (with additional processing chambers and peripherals) is 23 m 2 . As such, the footprint for a system with more chambers is quite small when the linear arrangement according to the invention is used. In large scale measurements, this improvement is achieved using an improved feeding system shown as transfer chamber 32 in FIG. 9 compared to using a central section as is done in a system of the type shown in FIG. 1.

본 발명의 선형 구조는 매우 유연하며, 다수의 기판 사이즈 및 형상에 도움이 된다. 통상, 반도체의 제조에 이용되는 웨이퍼는 둥글고, 직경이 약 200 내지 300 mm 이다. 반도체 산업은 항상 웨이퍼당 보다 많은 디바이스를 얻기 위해 노력하고 있고, 75 mm 에서, 100 mm, 200 mm, 또한 300 mm 로 보다 대규모의 웨이퍼 사이즈로 꾸준히 이동하고 있으며, 450 mm 직경의 웨이퍼로 이동하려는 노력이 진행 중에 있다. 그 고유 아키텍처로 인해, 세정실 웨이퍼 팹 (fab) 에서 필요한 플로어 공간은 그 주위에 위치한 프로세스를 갖는 통상의 클러스터 툴에서와 같이 크게 성장하지는 않을 것이다.The linear structure of the present invention is very flexible and conducive to many substrate sizes and shapes. Typically, wafers used in the manufacture of semiconductors are round and about 200 to 300 mm in diameter. The semiconductor industry is always striving to get more devices per wafer and is steadily moving to larger wafer sizes from 75 mm to 100 mm, 200 mm and also 300 mm and to 450 mm diameter wafers. This is in progress. Due to its inherent architecture, the floor space needed in a clean room wafer fab will not grow as large as in a typical cluster tool with processes located around it.

또한, 클러스터 툴 타입의 크기 (도 1) 를 증가시켜 출력을 증가시키는 것이 바람직한 경우에, 전체 측정치에 대한 애드 온은 증가한 전력에 대한 것이지만, 본원에서 설명된 시스템의 크기 증가는 단일 방향으로 이루어지고, 즉, 시스템의 폭을 동일하게 유지하면서 길이에 대해 이루어진다. 알루미늄 프로세스와 같은 유사한 프로세스에서, 도 1 에 도시된 장비보다 작은 공간을 차지하는 도 9 에 도시된 타입의 시스템을 이용하는 동일 시간 기간 동안의 스루풋과 관련하여, 도 9 의 장비는 도 1 과 같은 시스템보다 거의 2 배 많은 웨이퍼 (빠른 계산으로는 약 170%) 를 생산한다. 따라서, 종래 유닛에 비해 개시된 시스템을 이용하면, 측정된 세정실 면적당 웨이퍼 출력이 상당히 개선된다. 이는 웨이퍼의 제조 비용을 줄이기 위한 목적을 달성한다는 것이 명확해진다.In addition, where it is desirable to increase the output by increasing the size of the cluster tool type (FIG. 1), the add-on for the entire measurement is for increased power, but the increase in size of the system described herein is in a single direction and That is, about the length while keeping the width of the system the same. In a similar process, such as an aluminum process, with respect to throughput during the same time period using a system of the type shown in FIG. 9, which takes up less space than the equipment shown in FIG. 1, the equipment of FIG. It produces almost twice as many wafers (about 170% as fast calculation). Thus, using the disclosed system over conventional units, the wafer output per measured clean room area is significantly improved. It becomes clear that this achieves the purpose of reducing the manufacturing cost of the wafer.

이러한 장비의 설계는 원형 기판에 한정되는 것은 아니다. 원호로 설명된 경로로 웨이퍼를 이동시키는 클러스터 툴은, 실제 기판의 직사각형 형상을 새긴 원형 기판을 처리하도록 툴을 크기 조정할 필요가 있으므로 기판이 직사각형인 경우에, 특별히 결점을 갖지만, 선형 툴은 실제 형상을 통과하는데 필요한 것보다 어떤 방향으로든 더 클 필요가 없다. 예를 들어, 300 mm2 의 기판에서 작업하는 경우에, 클러스터 툴은 424 mm 원형 기판을 처리하도록 크기 조정될 필요가 있지만, 선형 툴은 300 mm 원형 기판에 필요한 것보다 더 클 필요가 없다.The design of such equipment is not limited to circular substrates. The cluster tool, which moves the wafer in the path described by the arc, has special drawbacks when the substrate is rectangular because the tool needs to be sized to handle a circular substrate engraved with the rectangular shape of the actual substrate, but the linear tool has a real shape. It does not have to be larger in any direction than necessary to pass through. For example, when working on a 300 mm 2 substrate, the cluster tool needs to be sized to handle a 424 mm circular substrate, but the linear tool does not need to be larger than required for a 300 mm circular substrate.

또한, 이송 챔버 (32) 의 크기는, 어떤 다른 부재의 웨이퍼에 관계없이, 입구 챔버를 따라 처리 챔버 안으로 또한 처리 챔버로부터 시스템 밖으로 기판을 이동시키는데 필요한 그 공간만을 제공하면 된다. 따라서, 이러한 챔버의 폭은 처리될 기판의 크기보다 약간 커야한다. 그러나, 그 시스템에서 작은 부재가 처리될 수도 있고, 기판 홀더 내에 복수로서 함께 처리될 수도 있다.In addition, the size of the transfer chamber 32 need only provide that space needed to move the substrate along the inlet chamber into and out of the system from the processing chamber, regardless of the wafer of any other member. Therefore, the width of this chamber should be slightly larger than the size of the substrate to be processed. However, in the system, small members may be processed, or may be processed together as a plurality in the substrate holder.

이상, 특정 재료 및 특정 단계의 예시적인 실시형태의 관점에서 본 발명을 설명하였지만, 당업자라면, 이들 특정 실시형태의 변형이 실시되고/되거나 이용될 수도 있다는 것을 알 수 있고, 첨부된 청구항에 의해 정의된 본 발명의 범위로부터 일탈함이 없이 이루어질 수도 있는 변경을 용이하게 하기 위해, 동작의 설명뿐만 아니라 설명되고 도시된 실시형태에 의해 부여된 이해로부터 그러한 구조 및 방법을 얻을 수 있다는 것을 알 수 있다.While the invention has been described above in terms of specific embodiments of specific materials and specific steps, those skilled in the art will recognize that variations of these specific embodiments may be practiced and / or utilized and are defined by the appended claims. It is to be understood that such structures and methods may be obtained from an understanding given by the described and illustrated embodiments, as well as a description of the operation, in order to facilitate changes that may be made without departing from the scope of the present invention.

*도면의 주요 부분에 대한 부호의 설명*
21, 31 : 처리 챔버 22 : 중심 챔버
25 : 승강기 26 : 입구
30 : 스테이션 32 : 이송 챔버
33 : EFEM 35 : 컴파트먼트
37 : 처리 전원 38 : 처리 가스 캐비넷
40 : 정보 처리 캐비넷 41 : 암
43 : 선형 레일 45 : 구동 및 지지 메커니즘
46 : 구동 트랙 47 : 레일
48 : 자기 커플링 종동부 50 : 자기 구동기
51 : 레일 52 : 회전 모터
Description of the Related Art [0002]
21, 31: processing chamber 22: central chamber
25: lift 26: entrance
30: station 32: transfer chamber
33: EFEM 35: compartment
37 processing power 38 processing gas cabinet
40: information processing cabinet 41: cancer
43: linear rail 45: drive and support mechanism
46: drive track 47: rail
48: magnetic coupling follower 50: magnetic driver
51: rail 52: rotation motor

Claims (11)

배출부 및 대기부 (atmospheric section) 를 갖는 연장된 기판 이송 챔버;
상기 배출부 내에서 상기 이송 챔버에 부착된 제 1 선형 트랙;
상기 대기부에서 상기 이송 챔버에 부착된 제 2 선형 트랙;
상기 제 1 선형 트랙 상에서 자유롭게 라이딩하는 비동력 베이스;
기계적 동력을 사용하는 상기 제 2 선형 트랙 상에서 선형으로 라이딩하는 동력 베이스;
상기 비동력 베이스에 부착되는 자기 커플링 종동부 (magnetically-coupled follower);
상기 비동력 베이스에 부착되는 로봇 암;
상기 동력 베이스 상에 탑재되고 자기 구동기를 회전시키는 회전 모터로서, 상기 자기 구동기는 진공 파티션을 통해 상기 자기 커플링 종동부에 선형 및 회전 운동을 전달하는, 상기 회전 모터; 및
상기 비동력 베이스 상에 탑재되며, 입력부로서 자기 커플링 종동부 (magnetically-coupled follower) 를 갖고, 출력부에 더 저속의 회전을 제공하는 감속기를 포함하고,
상기 감속기는 상기 로봇 암에 연결되어 상기 로봇 암의 각도 배치의 정확성을 크게 증가시키고, 과도 운동을 줄이며, 상기 비동력 베이스 상에 상기 로봇 암의 관성 모멘트를 줄이는, 기판 처리 시스템.
An extended substrate transfer chamber having an outlet and an atmospheric section;
A first linear track attached to the transfer chamber in the discharge section;
A second linear track attached to said transfer chamber at said waiting portion;
A non-powered base that rides freely on the first linear track;
A power base linearly riding on the second linear track using mechanical power;
Magnetically-coupled follower attached to the non-powered base;
A robot arm attached to the non-powered base;
A rotary motor mounted on the power base and rotating a magnetic driver, the magnetic driver transmitting linear and rotary motion to the magnetic coupling follower through a vacuum partition; And
A reducer mounted on the non-powered base, having a magnetically-coupled follower as input, and providing a slower rotation at the output,
And the reducer is coupled to the robot arm to greatly increase the accuracy of angular placement of the robot arm, reduce transient motion, and reduce the moment of inertia of the robot arm on the non-powered base.
제 1 항에 있어서,
상기 동력 베이스에 부착된 선형 모터를 더 포함하는, 기판 처리 시스템.
The method of claim 1,
Further comprising a linear motor attached to the power base.
제 2 항에 있어서,
상기 동력 베이스에 커플링된 선형 운동 인코더 및 상기 회전 모터에 커플링된 회전 인코더를 더 포함하는, 기판 처리 시스템.
The method of claim 2,
And a linear motion encoder coupled to the power base and a rotation encoder coupled to the rotary motor.
제 3 항에 있어서,
개선된 인력을 제공하도록 상기 동력 베이스에 커플링된 자화된 휠을 더 포함하는, 기판 처리 시스템.
The method of claim 3, wherein
And a magnetized wheel coupled to the power base to provide improved attraction.
제 1 항에 있어서,
상기 감속기는 50:1 의 감속 비로 설정되는, 기판 처리 시스템.
The method of claim 1,
And the reducer is set to a reduction ratio of 50: 1.
제 1 항에 있어서,
상기 로봇 암과 상기 감속기 사이에 커플링되는 암 연장부를 더 포함하는, 기판 처리 시스템.
The method of claim 1,
And an arm extension coupled between the robot arm and the reducer.
제 1 항에 있어서,
상기 제 1 선형 트랙은 자기 부상 (levitation) 어셈블리를 포함하는, 기판 처리 시스템.
The method of claim 1,
And the first linear track comprises a levitation assembly.
제 8 항에 있어서,
로드로크 개구부;
복수의 처리 챔버 개구부; 및
상기 동력 베이스 및 상기 회전 모터를 선형 및 회전 운동의 조합으로 동작시켜, 상기 로봇 암 상에 포지셔닝된 기판이 상기 로드로크 개구부와 상기 처리 챔버 개구부 사이에서 오직 직선으로만 이동하도록 프로그래밍되는 제어기를 더 포함하는, 기판 처리 시스템.
The method of claim 8,
Load lock openings;
A plurality of processing chamber openings; And
A controller programmed to operate the power base and the rotary motor in a combination of linear and rotary motions such that the substrate positioned on the robot arm moves only linearly between the loadlock opening and the processing chamber opening. Substrate processing system.
제 6 항에 있어서,
상기 동력 베이스는 선형 모터를 포함하는, 기판 처리 시스템.
The method according to claim 6,
And the power base comprises a linear motor.
제 6 항에 있어서,
상기 비동력 베이스는 자기 부상 구성을 포함하는, 기판 처리 시스템.
The method according to claim 6,
And the non-powered base comprises a magnetically levitated configuration.
제 10 항에 있어서,
상기 동력 베이스에 커플링되는 선형 운동 인코더 및 상기 회전 모터에 커플링되는 회전 인코더를 더 포함하는, 기판 처리 시스템.
The method of claim 10,
And a linear motion encoder coupled to the power base and a rotation encoder coupled to the rotary motor.
KR1020110026881A 2011-03-25 2011-03-25 Apparatus and methods for transporting and processing substrates KR20110049751A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020110026881A KR20110049751A (en) 2011-03-25 2011-03-25 Apparatus and methods for transporting and processing substrates

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110026881A KR20110049751A (en) 2011-03-25 2011-03-25 Apparatus and methods for transporting and processing substrates

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020080111278A Division KR20100052321A (en) 2008-11-10 2008-11-10 Apparatus and methods for transporting and processing substrates

Publications (1)

Publication Number Publication Date
KR20110049751A true KR20110049751A (en) 2011-05-12

Family

ID=44360780

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110026881A KR20110049751A (en) 2011-03-25 2011-03-25 Apparatus and methods for transporting and processing substrates

Country Status (1)

Country Link
KR (1) KR20110049751A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022051751A1 (en) * 2020-09-01 2022-03-10 Persimmon Technologies Corporation Material-handling robot with magnetically guided end-effectors

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022051751A1 (en) * 2020-09-01 2022-03-10 Persimmon Technologies Corporation Material-handling robot with magnetically guided end-effectors

Similar Documents

Publication Publication Date Title
US8293066B2 (en) Apparatus and methods for transporting and processing substrates
US10204810B2 (en) Linear vacuum robot with Z motion and articulated arm
US9524896B2 (en) Apparatus and methods for transporting and processing substrates
US8303764B2 (en) Apparatus and methods for transporting and processing substrates
JP4712379B2 (en) Substrate processing equipment
KR101829186B1 (en) Linear vacuum robot with z motion and articulated arm
TWI394224B (en) Apparatus and methods for transporting and processing substrates
JP5247094B2 (en) Substrate processing system
JP5388279B2 (en) Substrate transfer processing apparatus and method
KR101718540B1 (en) Apparatus and methods for transporting and processing substrates
KR20110049751A (en) Apparatus and methods for transporting and processing substrates
KR20100052321A (en) Apparatus and methods for transporting and processing substrates
EP2187433B1 (en) Apparatus and method for transporting and processing substrates
TWI488247B (en) Apparatus and method for transporting and processing substrates
JP5578539B2 (en) Substrate transfer processing apparatus and method
CN101740439B (en) Device and method for transmitting and processing substrate
KR20240051070A (en) Factory interface with redundancy

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20150226

Effective date: 20160315

J2X1 Appeal (before the patent court)

Free format text: APPEAL AGAINST DECISION TO DECLINE REFUSAL