JP2010147207A - 真空処理装置及び真空搬送装置 - Google Patents

真空処理装置及び真空搬送装置 Download PDF

Info

Publication number
JP2010147207A
JP2010147207A JP2008321942A JP2008321942A JP2010147207A JP 2010147207 A JP2010147207 A JP 2010147207A JP 2008321942 A JP2008321942 A JP 2008321942A JP 2008321942 A JP2008321942 A JP 2008321942A JP 2010147207 A JP2010147207 A JP 2010147207A
Authority
JP
Japan
Prior art keywords
transfer
vacuum
chamber
area
vacuum processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008321942A
Other languages
English (en)
Other versions
JP5139253B2 (ja
Inventor
Tsutomu Hiroki
勤 廣木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008321942A priority Critical patent/JP5139253B2/ja
Priority to KR1020107013829A priority patent/KR101192288B1/ko
Priority to US12/920,145 priority patent/US8380337B2/en
Priority to CN2009801498492A priority patent/CN102246286A/zh
Priority to PCT/JP2009/006919 priority patent/WO2010070896A1/ja
Publication of JP2010147207A publication Critical patent/JP2010147207A/ja
Application granted granted Critical
Publication of JP5139253B2 publication Critical patent/JP5139253B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J5/00Manipulators mounted on wheels or on carriages
    • B25J5/02Manipulators mounted on wheels or on carriages travelling along a guideway
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】クラスタツールにおいてプラットフォームの縦方向スペースを下方に延ばすことなく搬送能力を向上させる。
【解決手段】プラットフォームPF内で、第1の搬送ロボット16Lは、左側ガイドレール46L上でスライド移動できる搬送本体48Lと、オフセット方向(X方向)でスライド移動できる搬送基台50Lと、水平面内で旋回移動できるとともに、旋回円の半径と平行な方向で直進移動でき、かつ1枚の半導体ウエハWを支持できるスライダ型の搬送アーム52Lとを有している。第2の搬送ロボット16Rも、各部の運動または移動の向きが左右対称である点を除いて第1の搬送ロボット16Lと同一の構成および機能を有している。
【選択図】図3

Description

本発明は、クラスタツール方式の真空処理装置および真空搬送装置に関する。
真空搬送室を有する真空処理装置の一形態として、クラスタツール方式がよく知られている。クラスタツール方式は、プロセスの一貫化、連結化あるいは複合化をはかるために、減圧下で所定の処理を行う複数のプロセス・チャンバを真空のプラットフォームの周りに配置する方式であり、マルチチャンバ方式とも称され、典型的には半導体製造装置で採用されている(たとえば特許文献1参照)。
一般に、クラスタツールにおいては、一つの被処理体が複数のプロセス・チャンバを渡り歩くように順次転送されて同種または異種の真空処理を連続的に受けられようになっている。半導体デバイス製造では、CVD(化学的気相成長)、スパッタ、ドライエッチング、ドライクリーニング等がクラスタツール内で行われる代表的な真空処理である。
上記のような複数のプロセス・チャンバに跨る被処理体の渡り歩き(転送)はプラットフォームを通って行われるため、プラットフォームの室内は常時減圧状態に保たれる。このようなプラットフォームへ未処理の被処理体を大気空間から搬入するために、そして一連の真空処理を終えた被処理体をプラットフォームから大気空間へ搬出するために、プラットフォームにはゲートバルブを介して大気/真空インタフェースのロードロック・チャンバも接続される。プラットフォームの室内には、各プロセス・チャンバまたはロードロック・チャンバとの間で基板の受け渡しを減圧下で行うための真空搬送装置が設けられる。この種の真空搬送装置は、各プロセス・チャンバまたはロードロック・チャンバに対して被処理体の搬入出を行うための伸縮可能な搬送アームを有し、アクセス先に応じて搬送アームを旋回させられるようになっている。
ところで、クラスタツール方式の真空処理装置においては、被処理体カセットの投入、払い出しが行われるロードポート側から見て装置全体の幅サイズを縮小ないし維持しつつ、プラットフォームを奥行き方向に長く延ばすことにより、その長辺に沿ってプロセス・チャンバを増設し、装置全体のチャンバ搭載台数を増やすレイアウトが半導体ウエハの大型化にも有利に対応できる手法として一つの傾向になっている(たとえば特許文献2参照)。
このようにプロセス・チャンバの搭載台数が多くなると、真空搬送装置の負担が大きくなり、処理装置側の全処理能力に真空搬送装置側の搬送能力が追いつかなくなることが課題になってくる。
この点、プラットフォーム内に1台の真空搬送ロボットを設ける従来のクラスタツールにおいては、プラットフォームに連結される複数のプロセス・モジュールについてそれぞれのチャンバ内に1つの被処理体が滞在する滞在時間とその滞在の前後で当該被処理体のためにモジュールの機能が塞がる付随的ビジー時間とを足し合わせたモジュール・サイクル時間を実質的に同じ長さに設定し、各被処理体が一巡するのと同じ順序で2本の搬送アームを有する真空搬送ロボットがそれら複数のプロセス・モジュールを巡回し、各々のプロセス・モジュールに対するアクセスで処理済みの被処理体を一方の搬送アームで搬出(ピック)してそれと入れ替わりに後続の別の被処理体を他方の搬送アームで搬入(プレース)する手法が採られている(たとえば特許文献3参照)。
しかしながら、そのような巡回式ピック&プレースの手法は、各プロセス・モジュールの処理時間が搬送時間に比して十分長いときは搬送ロボットの搬送動作にも余裕があって有効に機能するが、処理時間が短いと搬送ロボットの対応が難しくなり搬送効率やスループットは低くなる。他方で、処理済みの被処理体を搬出(ピック)した直後に当該プロセス・モジュールで行われる後処理(たとえばパージング、クリーニング等)が長くかかると、搬送ロボットは手持ちの未処理の被処理体についてプレース動作を行うためにその後処理が終了するまで当該プロセス・モジュールの前で待たされるはめになり、この長い待ち時間によってシステム全体のスループットは大きく低下する。
また、上記のようにプロセス・モジュール(プロセス・チャンバ)の搭載台数は増える傾向にあり、プラットフォーム内の基板搬送動作を1台の真空搬送ロボットで全部賄うのはそろそろ限界に来ている。
本発明者は、クラスタツールにおいて1台の真空搬送ロボットを用いるプラットフォームの搬送能力の限界を打破するために、プラットフォーム内で2つの移動台駆動機構により狭い共通の搬送空間内にある2つの移動台ないしアーム機構を水平状態で互いに干渉することなく上下方向において互いの位置の交換を可能とするように上下方向および水平方向に移動させるようにした真空処理装置を特許文献4で提案している。
特開平8−46013号公報 特開2007−12720号公報 特開2006−190894号公報 特開2004−265947号公報
上記特許文献4で開示された従来の真空処理装置は、プラットフォーム内で実質上2台の搬送ロボットを同時稼動させる方式であり、それによって搬送効率およびスループットを向上できるが、それでも未だ改善すべき課題が幾つかある。
第1に、各移動台駆動機構が、下方に基部を有し垂直(鉛直)面上で伸縮旋回可能な縦多関節ロボットの形態を採るため、鉛直方向に大きなスペースを要する。しかも、この移動台駆動機構の上方で2組の移動台ないしアーム機構を位置交換可能に上下方向で移動させるようにしている。ここで、アーム機構の頂上位置は、プロセス・チャンバの被処理体搬入出口に対応した高さに設定される。このため、移動台駆動機構(特に基部)は、プロセス・チャンバよりも低い位置空間で稼動することになる。
ところが、最近のプロセス・モジュールは真空排気系に大容積のAPC(Automatic Pressure Control)バルブを常用するようになっており、このAPCバルブがプロセス・チャンバの下でプラットフォーム側に突き出るほどの占有スペースを必要とする。このことにより、プラットフォームは、プロセス・チャンバよりも低い下方のスペースをプロセス・モジュールのために空けておかなくてはならず、搬送機構のために用いることができなくなってきている。つまり、プラットフォームに上記のような縦多関節ロボットの形態を採る移動台駆動機構を設ける構成は採用困難になってきている。
第2に、プラットフォーム内で2つの移動台の高さ位置を相互に交換または置換する際に、各移動台に支持されている被処理体の上を他の移動台が通過するため、被処理体にパーティクルが付着する懸念がある。
第3に、移動台を下降移動させるときに、加速度が上向きに働くため、被処理体に対する保持力が弱められ、被処理体がすべる(位置ずれする)懸念がある。
また、移動台駆動機構(縦多関節ロボット)は、上記のように大きな動作スペースを要するだけでなく、それ自体が大掛かりなものであり、コスト的にも実施困難である。
本発明は、かかる従来技術の問題点に鑑みてなされたものであって、クラスタツールにおいてプラットフォームの縦方向スペースを下方に延ばすことなく機構および動作がシンプルで効率的な真空搬送ロボットにより搬送能力を大きく向上させる真空処理装置および真空搬送装置を提供することを目的とする。
上記の目的を達成するために、本発明の真空処理装置は、室内が減圧状態に保たれる真空搬送室と、前記真空搬送室の周囲に隣接して設けられ、減圧下の室内で被処理体に所定の処理が行われる1つまたは複数の真空処理室と、前記真空搬送室の周囲に隣接して設けられ、室内が選択的に大気状態または減圧状態に切り換えられ、大気空間と前記真空搬送室との間で転送される被処理体を一時的に留め置く1つまたは複数のロードロック室と、前記ロードロック室といずれかの前記真空処理室との間で、または異なる前記真空処理室の間で、被処理体を搬送するために前記真空搬送室内に設けられる第1および第2の真空搬送ロボットと を有し、前記第1および第2の真空搬送ロボットが、前記ロードロック室側から見て前記真空搬送室の左側搬送エリアおよび右側搬送エリアでそれぞれ奥行き方向に延びる第1および第2の搬送路上でそれぞれ前記真空搬送室内を移動できるように構成され、前記第1の真空搬送ロボットは、前記左側搬送エリアに隣接するすべての前記真空処理室に対して、および前記右側搬送エリアに隣接する少なくとも1つの前記真空処理室に対して、および少なくとも1つの前記ロードロック室に対して、被処理体の搬入または搬出のためのアクセスが可能であり、 前記第2の真空搬送ロボットは、前記右側搬送エリアに隣接するすべての前記真空処理室に対して、および前記左側搬送エリアに隣接する少なくとも1つの前記真空処理室に対して、および少なくとも1つの前記ロードロック室に対して、被処理体の搬入または搬出のためのアクセスが可能である構成とした。
また、本発明の真空搬送装置は、室内が減圧状態に保たれる真空搬送室の周囲に、前記真空搬送室に隣接して設けられ、減圧下の室内で被処理体に所定の処理が行われる1つまたは複数の真空処理室と、前記搬送室に隣接して設けられ、室内が選択的に大気状態または減圧状態に切り換えられ、大気空間と前記真空搬送室との間で転送される被処理体を一時的に留め置く1つまたは複数のロードロック室とを配置する真空処理装置において、前記真空搬送室と前記真空処理室または前記ロードロック室との間で被処理体の受け渡しを行うために前記真空搬送室内に設けられる真空搬送装置であって、前記ロードロック室側から見て前記真空搬送室の左側搬送エリアおよび右側搬送エリアでそれぞれ奥行き方向に延びる第1および第2の搬送路上でそれぞれ前記真空搬送室内を移動できるように構成された第1および第2の真空搬送ロボットを備え、前記第1の真空搬送ロボットは、前記左側搬送エリアに隣接するすべての前記真空処理室に対して、および前記右側搬送エリアに隣接する少なくとも1つの前記真空処理室に対して、および少なくとも1つの前記ロードロック室に対して、被処理体の搬入または搬出のためのアクセスが可能であり、前記第2の真空搬送ロボットは、前記右側搬送エリアに隣接するすべての前記真空処理室に対して、および前記左側搬送エリアに隣接する少なくとも1つの前記真空処理室に対して、および少なくとも1つの前記ロードロック室に対して、被処理体の搬入または搬出のためのアクセスが可能である構成とした。
本発明の真空処理装置または真空搬送装置においては、真空搬送室内で、第1の真空搬送ロボットは左側搬送エリアを主たる動作エリアとしつつも右側搬送エリアにはみ出ることが可能である一方で、第2の真空搬送ロボットは右側搬送エリアを主たる動作エリアとしつつも左側搬送エリアにはみ出ることも可能となっている。すなわち、本発明の好適な一態様において、第1の真空搬送ロボットは、左側搬送エリア内にすべて収まって移動できる基本姿勢と、左側搬送エリアから右側搬送エリアにはみ出る姿勢とを任意に切り換えられるように構成され、第2の真空搬送ロボットは、右側搬送エリア内にすべて収まって移動できる基本姿勢と、右側搬送エリアから左側搬送エリアにはみ出る姿勢とを任意に切り換えられるように構成される。
本発明の好適な一態様における第1および第2の真空搬送ロボットは、第1および第2の搬送路上でそれぞれ真空搬送室内を移動できるように構成された第1および第2の搬送本体と、奥行き方向と交差する水平なオフセット方向で移動できるように第1および第2の搬送本体にそれぞれ搭載された第1および第2の搬送基台と、水平面内で旋回運動できるとともに、旋回円の半径と平行な方向で直進移動できるように第1および第2の搬送基台にそれぞれ搭載され、かつ被処理体を支持できるように構成された第1および第2の搬送アームとをそれぞれ有する。
本発明の好適な一態様においては、第1および第2の真空搬送ロボットが左側および右側搬送エリアを主たる動作エリアとするために、第1および第2の搬送本体が第1および第2の搬送路上でそれぞれスライド移動するように構成されており、また第1および第2の搬送路上で互いにすれ違いながら移動できるようになっている。
また、別の好適な一態様においては、第1の真空搬送ロボットが左側搬送エリアから右側搬送エリアにはみ出られるようにするために、第1の搬送基台が、左側搬送エリア内に収まる第1の復動位置と左側搬送エリアから右側搬送エリアにはみ出る第1の往動位置との間で移動可能になっている。また、第2の真空搬送ロボットが右側搬送エリアから左側搬送エリアにはみ出られるようにするために、第2の搬送基台が、右側搬送エリア内に収まる第2の復動位置と右側搬送エリアから左側搬送エリアにはみ出る第2の往動位置との間で移動可能になっている。この場合、第1および第2の搬送基台は、オフセット方向でスライド移動可能に第1および第2の搬送本体にそれぞれ搭載されるのが好ましい。
本発明において、第1の真空搬送ロボットは、左側搬送エリアに隣接するすべての真空処理室にアクセスできるだけでなく、右側搬送エリアに隣接する少なくとも1つの真空処理室にもアクセス可能であり、また少なくとも1つのロードロック室にもアクセス可能である。一方、第2の真空搬送ロボットは、右側搬送エリアに隣接するすべての真空処理室にアクセスできるだけでなく、左側搬送エリアに隣接する少なくとも1つの真空処理室にもアクセス可能であり、また少なくとも1つのロードロック室にもアクセス可能である。
上記のような第1および第2の真空搬送ロボットの搬送機能を組み合わせて両者を連携動作させることにより、プロセス・チャンバのいずれに対しても先に搬送ロボットの一方がジャストインタイムで或る被処理体を搬出し、後に他方(場合によっては再度一方)がジャストインタイムで別の被処理体を搬入することができる。
また、本発明においては、上記のように第1および第2の真空搬送ロボットの各部つまり搬送本体,搬送基台、搬送アームが水平方向でスライド動作または旋回運動を行う構成であり、縦(鉛直)方向の屈伸・伸縮動作や旋回運動は一切行わないので、縦(鉛直)方向に大きな動作スペースを必要としない。これによって、真空搬送室の縦方向サイズを小さくすることができる。また、真空搬送室内において、被処理体の上を搬送機構の部材が通過することはないので、被処理体にパーティクルが付着する可能性も少ない。さらに、真空搬送室内において、被処理体に縦(鉛直)方向の加速度(特に上向きの加速度)を与えることがないので、搬送アーム上で被処理体を安定に保持することができる。
また、上記のように搬送ロボットの各部を水平スライド/水平旋回型の機構とする場合は、各部の駆動源(好ましくは全部の駆動源)を真空処理室の外に配置することが容易であり、それによって電気ケーブル類を収容する関節ダクトまたはフレキシブル管を真空処理室内に引き回す必要がなくなり、搬送ロボットの移動範囲やスライドストロークを大きくすることができる。
本発明の真空処理装置または真空搬送装置によれば、上記のような構成および作用により、クラスタツールにおいてプラットフォームの縦方向スペースを下方に延ばすことなく機構および動作がシンプルで効率的な真空搬送ロボットにより搬送能力を大きく向上させることができる。
以下、添付図を参照して本発明の好適な実施の形態について説明する。
図1に、本発明の一実施形態に係るクラスタツール方式の真空処理装置の全体構成を示す。この真空処理装置は、クリーンルーム内に設置され、装置奥行き方向(図のY方向)に延びる一対の辺が他の辺よりも約2倍長い五角形の形状を有する真空のプラットフォーム(真空搬送室)PFの周囲に隣接して6つの真空プロセス・チャンバ(真空処理室)PC1,PC2,PC3,PC4,PC5,PC6と2つのロードロック・チャンバ(ロードロック室)LLCa,LLCbとをクラスタ状に配置している。
より詳細には、プラットフォームPFには、図の時計回りの順序で、左側の長辺に第1および第2のプロセス・チャンバPC1,PC2がゲートバルブGV1,GV2を介してそれぞれ連結され、左側および右側の斜辺に第3および第4のプロセス・チャンバPC3,PC4がゲートバルブGV3,GV4を介してそれぞれ連結され、右側の長辺に第5および第6のプロセス・チャンバPC5,PC6がゲートバルブGV5,GV6を介してそれぞれ連結され、底辺に両ロードロック・チャンバLLCa,LLCbが左右に分かれゲートバルブGVa,GVbを介してそれぞれ連結されている。
各々のプロセス・チャンバPC1〜PC6は、専用の真空排気装置10に接続されており(図2)、室内が可変の圧力で常時減圧状態に保たれる。典型的には、図2に示すように室内の中央部に配置した載置台12の上に被処理体たとえば半導体ウエハWを載せ、所定の用力(処理ガス、高周波等)を用いて所要の枚葉処理、たとえばCVD、ALD(Atomic Layer Deposition)あるいはスパッタ等の真空成膜処理、熱処理、半導体ウエハ表面のクリーニング処理、ドライエッチング加工等を行うようになっている。
プラットフォームPFは、専用の真空排気装置14に接続されており(図2)、室内が通常は一定の圧力で常時減圧状態に保たれる。室内には、それぞれ独立してウエハ搬送動作を行える2台の真空搬送ロボット16L,16Rが設けられている。これらの真空搬送ロボット16L,16Rの構成および作用は、後に詳細に説明する。
ロードロック・チャンバLLCa,LLCbは、それぞれ開閉弁を介して専用の真空排気装置(図示せず)に接続されており、室内を大気圧状態および真空状態のいずれにも随時切り換えられるようになっている。プラットフォームPFから見て反対側でロードロック・チャンバLLCa,LLCbはそれぞれドアバルブDVa,DVbを介して大気圧下のローダ搬送室LMに連結されている。ロードロック・チャンバLLCa,LLCbの室内の中央部には留置中の半導体ウエハWを載せる受渡台18が配置されている。
ローダ搬送室LMと隣接してロードポートLPおよびオリフラ合わせ機構ORTが設けられている。ロードポートLPは、外部搬送車との間でたとえば1バッチ25枚の半導体ウエハWを収納可能なウエハカセットCRの投入、払出しに用いられる。ここで、ウエハカセットCRはSMIF(Standard Mechanical Interface)やFOUP(Front Opening Unified Pod)等のボックスまたはポッドとして構成されている。オリフラ合わせ機構ORTは、半導体ウエハWのオリエンテーションまたはノッチを所定の位置または向きに合わせるために用いられる。
ローダ搬送室LM内に設けられている大気搬送ロボット20は、伸縮可能な一対の搬送アーム22,24を有し、リニアモータ26のリニアガイド28上で水平方向に移動可能であるとともに、昇降・旋回可能であり、ロードポートLP、オリフラ合わせ機構ORTおよびロードロック・チャンバLLCa,LLCbの間を行き来して半導体ウエハWを枚葉単位(あるいはバッチ単位)で搬送する。ここで、大気搬送ロボット20は、それぞれのウエハカセットCR前面に設けられているLPドア25の開状態において半導体ウエハWをローダ搬送室LM内に搬入する。リニアガイド28は、たとえば永久磁石からなるマグネット、駆動用磁気コイルおよびスケールヘッド等で構成され、主制御部30または大気搬送系コントローラ(図示せず)からのコマンドに応じて大気搬送ロボット20の直線運動制御を行う。
図2に、プラットフォームPF周りの縦方向のレイアウトを示す。図中、PCL,PCRは、プラットフォームPFの左辺および右辺にそれぞれ隣接して配置されるプロセス・チャンバを示している。プロセス・チャンバPCL,PCRは、所要の真空枚葉処理を行うためのハードウェア一式をユニット化したプロセス・モジュールPML,PMRに含まれている。左側のプロセス・モジュールPMLにおいて、プロセス・チャンバPCLの下には真空排気装置10を構成する排気管32、APCバルブ34および真空ポンプ(たとえばターボ分子ポンプ)36が配置される。ここで、APCバルブ34は、横方向サイズが大きく、プラットフォームPFの下に突き出ている。右側のプロセス・モジュールPMRも、左側のプロセス・モジュールPMLと略同様のレイアウトおよびサイズを有している。
プラットフォームPFは、縦方向でプロセス・チャンバPCL,PCRと同じかそれに近いサイズを有している。プラットフォームPFの直下のスペース38は、真空排気装置14を構成する排気管40および真空ポンプ42が設けられる位で十分余裕があり、両側のプロセス・モジュールPML,PMRからAPCバルブ34が内側に突き出るのを許容している。また、このスペース38は、プラットフォームPFおよびプロセス・モジュールPML,PMRのメンテナンスにも利用される。
図3に、プラットフォームPF内に設けられる2台の真空搬送ロボット(以下、単に「搬送ロボット」と略称する。)16L,16Rの構成を示す。プラットフォームPF内の搬送空間はロードロック・チャンバLLCa,LLCb(図1)側から見て搬送機能的に左右半々に区画され、左側の搬送エリアTELおよび右側の搬送エリアTERの底部には奥行き方向(Y方向)に延びる左側ガイドレール46Lおよび右側ガイドレール46Rがそれぞれ敷かれている。第1(左側)の搬送ロボット16Lは左側ガイドレール46L上で動作し、第2(右側)の搬送ロボット16Rは右側ガイドレール46R上で動作するようになっている。
第1の搬送ロボット16Lは、左側ガイドレール46L上でプラットフォームPF内をスライド移動できるように構成された直方体形状の搬送本体48Lと、奥行き方向(Y方向)と直交する水平なオフセット方向(X方向)でスライド移動できるように搬送本体48Lに搭載された直方体形状の搬送基台50Lと、水平面内で旋回移動できるとともに、旋回円の半径と平行な方向で直進移動できるように搬送基台50Lに搭載され、かつ1枚の半導体ウエハWを支持できるように構成されたスライダ型(非屈伸型)の搬送アーム52Lとを有している。
搬送本体48Lは、たとえばボールねじ機構54Lによって直進駆動される。このボールねじ機構54Lは、その送りねじ56Lの一端がプラットフォームPFの外に配置されるモータ58Lに結合されている。搬送本体48Lには、送りねじ56Lと螺合するボールねじ(図示せず)が取り付けられている。
搬送基台50Lは、たとえば、搬送本体48Lの上面に取り付けられたガイドレール60Lおよびボールねじ機構62Lによってオフセット方向(X方向)でスライド移動できるようになっている。ボールねじ機構62Lの駆動源つまりモータ(図3では図示せず)は、搬送本体48Lに取り付けることも可能であるが、後述するようにプラットフォームPFの外に配置することも可能である(図17)。
搬送アーム52Lおよびアーム本体55Lの構成は後に詳述する(図15〜図16)。
第2の搬送ロボット16Rも、各部の運動または移動の向きが左右対称である点を除いて第1の搬送ロボット16Lと同一の構成および機能を有している。図中、第2の搬送ロボット16Rの各要素には、それと対応する第1の搬送ロボット16Lの要素と同一の数字を有し“L”を“R”に置き換えた符号を附している。
図3において、プラットフォームPFの周回方向に所定の間隔をおいて側面に形成されているウエハ搬入出口M1,M2,M3,M4,M5,M6,Ma,Mbは、ゲートバルブGV1,GV2,GV3,GV4,GV5,GV6,GVa,GVb(図1)とそれぞれ接続する。
ここで、ロードポートLPに投入されたウエハカセットCR内の1枚の半導体ウエハWiにこのクラスタツール内で一連の処理を受けさせるための基本的なウエハ搬送シーケンスを説明する。主制御部30は、このウエハ搬送シーケンスを実行するために、装置内の各部を直接に、または局所コントローラ(図示せず)を介して間接的に制御する。
ローダ搬送室LM内の大気搬送ロボット20は、ロードポートLP上のウエハカセットCRから1枚の半導体ウエハWiを取り出し、この半導体ウエハWiをオリフラ合わせ機構ORTに搬送してオリフラ合わせを受けさせ、それが済んだ後にロードロック・チャンバLLCa,LLCbのいずれか一方たとえば左側ロードロック・チャンバLLCaに移送する。移送先の左側ロードロック・チャンバLLCaは、大気圧状態で半導体ウエハWiを受け取り、搬入後に室内を真空引きし、減圧状態で半導ウエハWiをプラットフォームPFの第1(左側)の搬送ロボット16Lに渡す。
第1の搬送ロボット16Lは、搬送アーム52Lを復動位置と往動位置との間で往復スライド移動させて、半導体ウエハWiを左側ロードロック・チャンバLLCaより取り出し、1番目のプロセス・チャンバ(たとえばPC1)に搬入する。プロセス・チャンバPC1内では、予め設定されたレシピにしたがい所定のプロセス条件(ガス、圧力、電力、時間等)で第1工程の枚葉処理が行われる。
この第1工程の枚葉処理が終了した後に、第1または第2の搬送ロボット16L,16Rのどちらかが、半導体ウエハWiをプロセス・チャンバPC1から搬出し、その搬出した半導体ウエハWiを次に2番目のプロセス・チャンバ(たとえばPC2)に搬入する。この2番目のプロセス・チャンバPC2でも、予め設定されたレシピにしたがい所定のプロセス条件で第2工程の枚葉処理が行われる。
この第2工程の枚葉処理が終了すると、第1または第2の搬送ロボット16L,16Rのどちらかが、半導体ウエハWiを2番目のプロセス・チャンバPM2から搬出し、その搬出した半導体ウエハWiを、次工程があるときは3番目のプロセス・チャンバ(たとえばPC3)に搬入し、次工程がないときはロードロック・チャンバLLCa,LLCbのどちらかに搬送する。3番目以降のプロセス・チャンバ(たとえばPC5)で処理が行われた場合も、第1または第2の搬送ロボット16L,16Rのどちらかが、次工程があるときは後段のプロセス・チャンバ(たとえばPC6)に搬入し、次工程がないときはロードロック・チャンバLLCa,LLCbのどちらかに戻す。
上記のようにしてクラスタツール内の複数のプロセス・チャンバPC1,PC2・・で一連の処理を受けた半導体ウエハWiがロードロック・チャンバのどちらか(たとえばLLCb)に搬入されると、このロードロック・チャンバLLMbの室内は減圧状態から大気圧状態に切り替えられる。しかる後、ローダ搬送室LM内の大気搬送ロボット20が、大気圧状態のロードロック・チャンバLLMbから半導体ウエハWiを取り出して該当のウエハカセットCRに戻す。なお、ロードロック・チャンバLLCa,LLCbにおいて滞在中の半導体ウエハWiに所望の雰囲気下で加熱または冷却処理を施すこともできる。
上記のように、このクラスタツール方式の真空処理装置は、減圧下のプラットフォームPFを介して一つの半導体ウエハWiを複数のプロセス・チャンバに順次転送することで、当該半導体ウエハWiに一連の真空処理をインラインで連続的に施すことが可能であり、特に真空薄膜形成加工では複数のプロセス・チャンバで異なる成膜加工を連続的に行って所望の薄膜をインラインで積層形成することができる。
次に、図4〜図10につき、この実施形態におけるプラットフォームPF内の第1および第2の搬送ロボット16L,16Rの基本的なウエハ搬入/搬出動作を説明する。一例として、第1の搬送ロボット16Lが第5のプロセス・チャンバPC5に半導体ウエハWiを搬入する動作と、第2の搬送ロボット16Rが第6のプロセス・チャンバPC6から別の半導体ウエハWjを搬出する動作とが並行して同時に行われる場面について説明する。この場面でも、また如何なる場面でも、搬送ロボット16L,16Rの少なくとも一方が稼動するときは、主制御部30が装置内の各部を直接に、または局所コントローラ(図示せず)を介して間接的に制御する。
先ず、図4に示すように、第1の搬送ロボット16Lは、搬送アーム52Lで半導体ウエハWiを保持しながら左側ガイドレール46L上をスライド移動し、プロセス・チャンバPC5の略正面で停止する。一方、第2の搬送ロボット16Rは、搬送アーム52Rを空(無負荷)の状態にして右側ガイドレール46R上をスライド移動し、プロセス・チャンバPC6の略正面で停止する。
なお、図4において、第1および第2の搬送ロボット16L,16Rはそれぞれ基本姿勢をとっている。すなわち、搬送基台50L,50Rが搬送本体48L,48R上の原位置(復動位置)に在り、搬送アーム52L,52Rが搬送基台50L,50R上で奥行き方向(Y方向)と並行でかつ旋回半径が最小になる原位置(復動位置)に在るような姿勢をとっている。この基本姿勢において、第1の搬送ロボット16Lは、右側搬送エリアTERにはみ出ることなく、左側搬送エリアTEL内を奥行き方向(Y方向)で自在に移動できる。また、第2の搬送ロボット16Rは、左側搬送エリアTELにはみ出ることなく、右側搬送エリアTER内を奥行き方向(Y方向)で自在に移動できる。したがって、両搬送ロボット16L,16Rは、互いにすれ違うこともできれば、あるいは一方が他方を追い抜くこともできるようになっている。
上記のようにしてプロセス・チャンバPC5の略正面で停止した後、第1の搬送ロボット16Lは、上記の基本姿勢から、図5に示すように、搬送基台50Lを搬送本体48L上で右側搬送エリアTERにはみ出るように右側へ所定のストロークだけスライド移動させる。一方、第2の搬送ロボット16Rは、プロセス・チャンバPC6の前で、上記の基本姿勢から、搬送本体48R上で搬送基台50Rを左側搬送エリアTELにはみ出るように左側へ所定のストロークだけスライド移動させる。
次に、図6に示すように、第1の搬送ロボット16Lは、搬送基台50L上で搬送アーム52Lを図の反時計回りに所定角度(約90°)だけ旋回運動させて、半導体ウエハWiを保持しているアーム先端部(エンドエフェクタ)をウエハ搬入出口M5(図3)に向ける。第2の搬送ロボット16Rも、搬送基台50R上で搬送アーム52Rを図の時計回りに所定角度(約90°)だけ旋回運動させて、空(無負荷)状態のアーム先端部(エンドエフェクタ)をウエハ搬入出口M6(図3)に向ける。この直後に、ゲートバルブGV5,GV6がそれぞれ開く。
次に、図7に示すように、第1の搬送ロボット16Lは、搬送アーム52Lを前方に所定のストロークだけ直進移動(往動)させて、第5のプロセス・チャンバPC5内に半導体ウエハWiを搬入し、載置台12の上で半導体ウエハWiを渡す。ここで、プロセス・チャンバPC5に備わっているリフトピン機構(図示せず)が、載置台12の上で半導体ウエハWiをピン先端に載せて受け取り、次いで半導体ウエハWiを降ろして載置台12上に載置する。一方、第2の搬送ロボット16Rは、搬送アーム52Rを前方に所定のストロークだけ直進移動(往動)させて、搬送アーム52Rのエンドエフェクタを第6のプロセス・チャンバPC6の中に挿入し、載置台12の上でリフトピン機構(図示せず)から半導体ウエハWjを受け取る。
次いで、図8に示すように、第1の搬送ロボット16Lは、空(無負荷)状態になった搬送アーム52Lを後方に直進移動(復動)させてプラットフォームPF内に戻し、プロセス・チャンバPC5へ半導体ウエハWiを搬入する動作を完了する。第2の搬送ロボット16Rは、半導体ウエハWjを保持している搬送アーム52Rを後方に直進移動(復動)させてプラットフォームPF内に戻し、プロセス・チャンバPC6から半導体ウエハWjを搬出する動作を完了する。
この後は、搬送レシピにしたがって、第1および第2の搬送ロボット16L,16Rはそれぞれ次の行き先へ向い、所要のウエハ搬入/搬出動作を行う。たとえば、この後に、第1の搬送ロボット16Lは第3のプロセス・チャンバPC3から別の半導体ウエハWkを搬出する動作をプログラムされ、第2の搬送ロボット16Rは半導体ウエハWjを右側ロードロック・チャンバLLCbに搬入する動作をプログラムされているものとする。
この場合は、図9に示すように、第1の搬送ロボット16Lは、搬送基台50Lを右側搬送エリアTERにはみ出させたまま、搬送本体48Lを第3のプロセス・チャンバPC3へ接近するように奥行き方向(Y方向)へ移動させ、それと同時に搬送アーム52Lを図の反時計回りに所定角度(約120°)だけ旋回運動させて、アーム先端部(エンドエフェクタ)をウエハ搬入出口M3(図3)に向ける。一方、第2の搬送ロボット16Rは、搬送アーム52Rを図の時計回りに所定角度(約90°)だけ90°旋回運動させる。
次に、図10に示すように、第1の搬送ロボット16Lは、搬送アーム52Lを前方に所定のストロークだけ直進移動(往動)させて、搬送アーム52Lのエンドエフェクタを第3のプロセス・チャンバPC3の中に挿入する。一方、第2の搬送ロボット16Rは、搬送基台50Lを搬送本体48R上の原位置に戻し、半導体ウエハWjを保持している搬送アーム52Rのエンドエフェクタを右側ロードロック・チャンバLLCbの正面つまりウエハ搬入出口Mb(図3)に向ける。
この後は、図示省略するが、第1の搬送ロボット16Lは、半導体ウエハWkを受け取った搬送アーム52Lを後方に所定のストロークだけ直進移動(復動)させて、第3のプロセス・チャンバPC3から半導体ウエハWkを搬出する動作を完了させる。一方、第2の搬送ロボット16Rは、搬送アーム52Rを前方に所定のストロークだけ直進移動(往動)させて、右側ロードロック・チャンバLLCb内の受渡台18に半導体ウエハWjを渡し、空(無負荷)状態になった搬送アーム52Rを後方に直進移動(復動)させて、右側ロードロック・チャンバLLCbに半導体ウエハWjを搬入する動作を完了させる。
その後も、第1および第2の搬送ロボット16L,16Rは、搬送レシピにしたがって互いに干渉することなくそれぞれに予定されたウエハ搬送動作を並行して行う。
この実施形態において、第1および第2の搬送ロボット16L,16RがプラットフォームPF内で同時稼動する際の相互の位置関係には、図11〜図14に示すように4つのパターンがある。
第1のパターンは、図11に示すように、第1の搬送ロボット16Lがリア搬送エリアRE内で稼動し、第2の搬送ロボット16Rがフロント搬送エリアFE内で稼動するケースである。なお、プラットフォームPFの搬送空間を奥行き方向(Y方向)で略半々に区画した場合に、ロードロック・チャンバLLCa,LLCb側から見て手前半分の搬送空間をフロント搬送エリアFEとし、内奥半分の搬送空間をリア搬送エリアREとしている。
この第1のパターンにおいて、第1の搬送ロボット16Lは、その各部(搬送本体48L,搬送基台50L、搬送アーム52L)を動作させることで、第2、第3、第4および第5のプロセス・チャンバPC2,PC3,PC4,PC5に任意にアクセスして半導体ウエハWの搬入/搬出を行うことができる。一方、第2の搬送ロボット16Rは、その各部(搬送本体48R,搬送基台50R、搬送アーム52R)を動作させることで、第1、第6のプロセス・チャンバPC1,PC6および右側ロードロック・チャンバLLCbに任意にアクセスして半導体ウエハWの搬入/搬出を行うことができる。
第2のパターンは、図12に示すように、第1のパターンとは逆で、第1の搬送ロボット16Lがフロント搬送エリアFE内で稼動し、第2の搬送ロボット16Rがリア搬送エリアRE内で稼動するケースである。この場合、第1の搬送ロボット16Lは、第1、第6のプロセス・チャンバPC1,PC6および左側ロードロック・チャンバLLCaに任意にアクセスして半導体ウエハWの搬入/搬出を行うことができる。また、第2の搬送ロボット16Rは、第2、第3、第4および第5のプロセス・チャンバPC2,PC3,PC4,PC5に任意にアクセスして半導体ウエハWの搬入/搬出を行うことができる。
第3のパターンは、図13に示すように、第1および第2の搬送ロボット16L,16Rが共にフロント搬送エリアFEで稼動するケースである。この場合、第1の搬送ロボット16Lは左側ロードロック・チャンバLLCaにのみアクセスして半導体ウエハWの搬入/搬出を行うことが可能であり、第2の搬送ロボット16Rは右側ロードロック・チャンバLLCbにのみアクセスして半導体ウエハWの搬入/搬出を行うことが可能である。
第4のパターンは、図14に示すように、第1および第2の搬送ロボット16L,16Rが共にリア搬送エリアRE内で稼動するケースである。この場合、両搬送ロボット16L,16Rのどちらも基本姿勢でそれぞれの搬送路46L,46R(図1〜図11)上を移動することしかできない。もっとも、上記したように互いに同じ向きにも逆向きにも移動可能であり、互いにすれ違うこともできる。
上記したように、このクラスタツールの真空処理装置においては、プラットフォームPFの周囲に隣接して複数(6つ)のプロセス・チャンバPC1〜PC6および複数(2つ)のロードロック・チャンバLLCa,LLCbが配置されるとともに、プラットフォームPF内に第1および第2の搬送ロボット16L,16Rが設けられている。
第1の搬送ロボット16Lは、プラットフォームPFの左側搬送エリアTELを主たる動作エリアとしつつも、右側搬送エリアTERにはみ出ることも可能であり、右側ロードロック・チャンバLLCbを除く全てのチャンババPC1〜PC6,LLCaにウエハ搬入/搬出のためのアクセスを行うことができる。一方、第2の搬送ロボット16Rは、プラットフォームPFの右側搬送エリアTERを主たる動作エリアとしつつも、左側搬送エリアTELにはみ出ることも可能であり、左側ロードロック・チャンバLLCaを除く全てのチャンババPC1〜PC6,LLCbにウエハ搬入/搬出のためのアクセスを行うことができる。
各々の搬送ロボット16L,16Rは、1回のアクセスで、半導体ウエハWの搬入または搬出のいずれかを行うだけであり、いわゆるピック&プレース動作により或る半導体ウエハWiを搬出してそれと入れ替わりに別の半導体ウエハWjを搬入するようなことはできない。しかし、上記4つの並列稼動パターン(図11〜図14)を適宜組み合わせて、両搬送ロボット16L,16Rを連携動作させることにより、プロセス・チャンバPC1〜PC6のいずれに対しても先に搬送ロボット16L,16Rの一方がジャストインタイムで或る半導体ウエハWiを搬出し、後に他方(場合によっては再度一方)がジャストインタイムで別の半導体ウエハWjを搬入することができる。
この実施形態におけるウエハ搬送方式が特に有利に機能するのは、プロセス・チャンバPC1〜PC6のいずれかで処理済みの半導体ウエハWiを搬出した後に直ぐにそれと入れ替わりで未処理の半導体ウエハWjを搬入できない場合である。典型的には、或るプロセス・チャンバ(たとえばPC4)において本来の真空枚葉処理の直後にウエハ無しの状態で行われる後処理(たとえばクリーニング処理)が長時間を要する場合である。この場合、この実施形態では、プロセス・チャンバPC4から処理済みの半導体ウエハWiを搬出した搬送ロボットたとえば左側搬送ロボット16Lは、その後処理が終了するまでプロセス・チャンバPC4の前で待っている必要はなく、直ちに半導体ウエハWiを次工程の処理が行われるべき別のプロセス・チャンバ(たとえばPC5)に搬入し、あるいは次工程がないときは左側ロードロック・チャンバLLCaに転送することができる。そして、プロセス・チャンバPC4で後処理が終了した直後に、右側搬送ロボット16R(あるいは左側搬送ロボット16L)がジャストインタイムでプロセス・チャンバPC4にアクセスして未処理の半導体ウエハWjを搬入することができる。
また、プラットフォームPFの奥行きサイズを更に長くして左右長辺にそれぞれ3台以上のプロセス・チャンバPCを配置するクラスタツールとした場合は、プラットフォームPFにおける真空搬送装置の動作スペースが奥行き方向に大きく拡大するため、2台の搬送ロボット16L,16Rを上記のように動作させる本発明の利点は一層顕著になる。
次に、この実施形態の搬送ロボット16L(16R)に搭載される搬送アーム52L(52R)を駆動するためのアーム駆動機構64について説明する。
図15および図16に示すように、このアーム駆動機構64は、搬送基台50L(50R)とアーム本体55L(55R)とに跨って設けられる。より詳しくは、搬送基台50L(50R)側に旋回駆動用モータ68、直進駆動用モータ70および第1の歯車機構72が設けられるとともに、アーム本体55L(55R)側に第2の歯車機構74およびボールねじ機構76が設けられ、搬送基台50L(50R)とアーム本体66との間に連結棒78が鉛直に設けられる。
搬送基台50L(50R)において、第1の歯車機構72は、同軸円筒構造の内部水平回転軸80および外部水平回転軸82を有している。図16に示すように、内部水平回転軸80と外部水平回転軸82との間および内部水平回転軸80と芯軸84との間にはそれぞれ軸受86が設けられている。
外部水平回転軸82の一端に平歯車88が固着され、その軸方向外側で内部水平回転軸80の一端に平歯車90が固着されている。これらの平歯車88,90には、旋回駆動用モータ68および直進駆動用モータ70に接続されている平歯車92,94がそれぞれ歯合している。
外部水平回転軸82の他端には傘歯車96が固着され、その軸方向外側で内部水平回転軸80の他端には傘歯車98が固着されている。これらの傘歯車96,98には、連結棒78の下端に取り付けられた傘歯車100,102がそれぞれ歯合している。
連結棒78は、同軸円筒構造の内部垂直回転軸104および外部垂直回転軸106を有している。図16に示すように、内部垂直回転軸104と外部垂直回転軸106との間、内部垂直回転軸104と芯軸108との間、外部垂直回転軸106と搬送基台50L(50R)の天井板との間にはそれぞれ軸受110が設けられている。外部垂直回転軸106の一端(下端)には上記傘歯車100が固着され、その軸方向外側で内部垂直回転軸104の一端(下端)には上記傘歯車102が固着されている。
外部垂直回転軸106の他端(上端)はアーム本体55L(55R)の底板に固着されており、その軸方向外側(上方)つまりアーム本体55L(55R)の中で内部垂直回転軸104の他端(上端)には傘歯車112が固着されている。傘歯車112には、第2の歯車機構74の傘歯車114が歯合している。
アーム本体55L(55R)内で、第2の歯車機構74は、円筒型の水平回転軸116を有している。図16に示すように、水平回転軸116と芯軸118との間には軸受120が設けられている。
水平回転軸116の一端には上記傘歯車114が固着され、他端には平歯車122が固着されている。この平歯車122には、ボールねじ機構74の送りねじ124の一端に固着された平歯車126が歯合している。
搬送アーム52L(52R)は1本の板体からなり、その基端部に送りねじ124と螺合するボールねじ128が取り付けられている。また、送りねじ124と平行に延びるガイドレール130が設けられており、このガイドレール130上で摺動するガイド部132も搬送アーム52L(52R)の基端部に取り付けられている。
かかる構成のアーム駆動機構64においては、旋回駆動用モータ68を作動させると、その回転駆動力が平歯車92→平歯車88→外部水平回転軸82→傘歯車96→傘歯車100を介して外部垂直回転軸106に伝達され、外部垂直回転軸106が回転することによってこれと一体にアーム本体55L(55R)が旋回する。モータ68の回転方向および回転量を制御することによって、アーム本体55L(55R)の旋回方向(時計回り/反時計回り)および旋回角度を制御することができる。
また、直進駆動用モータ70を作動させると、その回転駆動力が平歯車94→平歯車90→内部水平回転軸80→傘歯車98→傘歯車102→内部垂直回転軸104→傘歯車112→傘歯車114→水平回転軸116→平歯車122→平歯車126を介してボールねじ機構76の送りねじ124に伝達され、送りねじ124が回転することによって搬送アーム52L(52R)がアーム長手方向つまり旋回円の半径方向にスライド移動する。モータ70の回転方向および回転量を制御することによって、搬送アーム52L(52R)の移動方向(前進/後退)およびストロークを制御することができる。
この実施形態では、搬送ロボット16L,16Rの各部つまり搬送本体48L(48R),搬送基台50L(50R)、搬送アーム52L(52R)がいずれも水平方向でスライド動作または旋回運動を行う構成であり、縦(鉛直)方向の屈伸・伸縮動作や旋回運動は一切行わないので、縦(鉛直)方向に大きな動作スペースを必要としない。これによって、プラットフォームPFの縦方向サイズを小さくすることができ、上記のように隣のプロセス・モジュールPMに備えられるAPCバルブ34をプラットフォーム直下のスペース38(図2)に突き出せるレイアウトが可能となっている。また、プラットフォームPF内において、半導体ウエハWの上を搬送機構の部材が通過することはないので、半導体ウエハWにパーティクルが付着する懸念が解消される。さらに、プラットフォームPF内において、半導体ウエハWに縦(鉛直)方向の加速度(特に上向きの加速度)を与えることがないので、搬送アーム52L(52R)上で半導体ウエハWを安定に保持することができる。
また、この実施形態のように搬送ロボット16L,16Rの各部を水平スライド/水平旋回型の機構に統一する構成においては、各部の駆動源をプラットフォームPFの外に配置することが容易であり、それによって電気ケーブル類を収容する関節ダクトまたはフレキシブル管をプラットフォームPF内に引き回す必要がなくなり、搬送ロボット16L,16Rの移動範囲やスライドストロークを大きくとれるという利点もある。
たとえば、図17および図18に示すように、搬送本体48L(48R)上で搬送基台50L(50R)をオフセット方向(X方向)でスライド移動させるための機構において、搬送基台50L(50R)側のボールねじ機構62L(62R)と駆動源の電気モータ140との間に、スプライン軸142,平歯車144、平歯車146、水平回転軸148、傘歯車150、傘歯車152からなる伝動機構154を介在させることにより、電気モータ140をプラットフォームPFの外に配置することができる。
図17において、平歯車146、水平回転軸148および傘歯車150は一体的な歯車機構156であり、搬送本体48L(48R)に連結されている。図18に示すように、スプライン軸142の外周には軸方向に延びる溝158が形成されており、平歯車144はこの溝156に沿って歯車機構156と一緒に軸方向で移動できるようになっている。
以上本発明の好適な実施形態について説明したが、本発明は上記した実施形態に限定されるものではなく、その技術的思想の範囲内で種々の変形・変更が可能である。
たとえば、搬送ロボット16L,16Rにおける各部のスライド移動は必ずしも直線である必要は無く、必要的に応じて曲げることも可能である。
また、上記した実施形態では、五角形のプラットフォームPFの底辺に2つのロードロック・チャンバLLCa,LLCbを左右に配置し、第1の搬送ロボット16Lは左側のロードロック・チャンバLLCaにのみアクセスでき、第2の搬送ロボット16Rは右側のロードロック・チャンバLLCbにのみアクセスできるように構成した。しかし、たとえばプラットフォームPFの底辺を二等辺三角形の2つの斜辺に変形して(プラットフォームPFを六角形にして)それら2つの斜辺にロードロック・チャンバLLCa,LLCbを接続し、両搬送ロボット16L,16Rのいずれもが両ロードロック・チャンバLLCa,LLCbのいずれにもアクセスできるようにすることも可能である。
また、図示省略するが、プラットフォームPF内のスペースに余裕があれば、搬送ロボット16L,16Rの双方または一方に搬送アーム52L(52R)を複数本備える構成も可能である。その場合は、たとえばプロセス・チャンバに対する1回のアクセスで、一方の搬送アームを用いて処理済みの半導体ウエハを搬出(ピック)してそれと入れ替わりに他方の搬送アームを用いて未処理の半導体ウエハを搬入(プレース)する、いわゆるピック&プレース動作も可能である。
本発明における被処理体は、半導体ウエハに限らず、たとえばFPD基板であってもよく、クラスタツールの真空処理装置で任意の処理を受ける任意の被処理体であってよい。
本発明の一実施形態におけるクラスタツール方式の真空処理装置の構成を示す略平面図である。 上記真空処理装置におけるプラットフォーム(真空搬送室)周りの縦方向のレイアウトを模式的に示す略断面図である。 上記真空処理装置のプラットフォーム内に設けられる第1および第2の真空搬送ロボットの構成を示す斜視図である。 実施形態におけるプラットフォーム内の第1および第2の真空搬送ロボットのウエハ搬入/搬出動作の一段階を示す略平面図である。 上記第1および第2の真空搬送ロボットのウエハ搬入/搬出動作の一段階を示す略平面図である。 上記第1および第2の真空搬送ロボットのウエハ搬入/搬出動作の一段階を示す略平面図である。 上記第1および第2の真空搬送ロボットのウエハ搬入/搬出動作の一段階を示す略平面図である。 上記第1および第2の真空搬送ロボットのウエハ搬入/搬出動作の一段階を示す略平面図である。 上記第1および第2の真空搬送ロボットのウエハ搬入/搬出動作の一段階を示す略平面図である。 上記第1および第2の真空搬送ロボットのウエハ搬入/搬出動作の一段階を示す略平面図である。 プラットフォーム内で同時に稼動する上記第1および第2の搬送ロボットの相互の位置関係に係る第1のパターンを示す略平面図である。 上記第1および第2の搬送ロボットの相互の位置関係に係る第2のパターンを示す略平面図である。 プラットフォーム内で同時に稼動する上記第1および第2の搬送ロボットの相互の位置関係に係る第3のパターンを示す略平面図である。 上記第1および第2の搬送ロボットの相互の位置関係に係る第4のパターンを示す略平面図である。 実施形態におけるアーム駆動機構の構成を示す分解斜視図である。 上記アーム駆動機構の要部の構成を示す一部断面側面図である。 実施形態の搬送ロボットにおいて搬送基台をオフセット方向でスライド移動させるための駆動機構を示す斜視図である。 図18の駆動機構に用いられるスプライン軸の断面構造を示す断面図である。
符号の説明
PF プラットフォーム(真空搬送室)
PC1〜PC6 プロセス・チャンバ
LLCa,LLCb ロードロック・チャンバ
GV1〜GV6,GVa,GVb ゲートバルブ
16a 第1の真空搬送ロボット
16b 第2の真空搬送ロボット
46L 左側ガイドレール
46R 右側ガイドレール
48L(48R) 搬送本体
50L(50R) 搬送基台
52L(52R) 搬送アーム
55L(55R) アーム本体
64 アーム搬送機構

Claims (15)

  1. 室内が減圧状態に保たれる真空搬送室と、
    前記真空搬送室の周囲に隣接して設けられ、減圧下の室内で被処理体に所定の処理が行われる1つまたは複数の真空処理室と、
    前記真空搬送室の周囲に隣接して設けられ、室内が選択的に大気状態または減圧状態に切り換えられ、大気空間と前記真空搬送室との間で転送される被処理体を一時的に留め置く1つまたは複数のロードロック室と、
    前記ロードロック室といずれかの前記真空処理室との間で、または異なる前記真空処理室の間で、被処理体を搬送するために前記真空搬送室内に設けられる第1および第2の真空搬送ロボットと
    を有し、
    前記第1および第2の真空搬送ロボットが、前記ロードロック室側から見て前記真空搬送室の左側搬送エリアおよび右側搬送エリアでそれぞれ奥行き方向に延びる第1および第2の搬送路上でそれぞれ前記真空搬送室内を移動できるように構成され、
    前記第1の真空搬送ロボットは、前記左側搬送エリアに隣接するすべての前記真空処理室に対して、および前記右側搬送エリアに隣接する少なくとも1つの前記真空処理室に対して、および少なくとも1つの前記ロードロック室に対して、被処理体の搬入または搬出のためのアクセスが可能であり、
    前記第2の真空搬送ロボットは、前記右側搬送エリアに隣接するすべての前記真空処理室に対して、および前記左側搬送エリアに隣接する少なくとも1つの前記真空処理室に対して、および少なくとも1つの前記ロードロック室に対して、被処理体の搬入または搬出のためのアクセスが可能である、
    真空処理装置。
  2. 前記第1の真空搬送ロボットは、前記左側搬送エリア内にすべて収まって移動できる基本姿勢と、前記左側搬送エリアから前記右側搬送エリアにはみ出る姿勢とを任意に切り換えられるように構成され、
    前記第2の真空搬送ロボットは、前記右側搬送エリア内にすべて収まって移動できる基本姿勢と、前記右側搬送エリアから前記左側搬送エリアにはみ出る姿勢とを任意に切り換えられるように構成される、
    請求項1に記載の真空処理装置。
  3. 前記第1および第2の真空搬送ロボットが、
    前記第1および第2の搬送路上でそれぞれ前記真空搬送室内を移動できるように構成された第1および第2の搬送本体と、
    前記奥行き方向と交差する水平なオフセット方向で移動できるように前記第1および第2の搬送本体にそれぞれ搭載された第1および第2の搬送基台と、
    水平面内で旋回運動できるとともに、旋回円の半径と平行な方向で直進移動できるように前記第1および第2の搬送基台にそれぞれ搭載され、かつ被処理体を支持できるように構成された第1および第2の搬送アームと
    をそれぞれ有する、請求項1または請求項2に記載の真空処理装置。
  4. 前記第1および第2の搬送本体は、前記第1および第2の搬送路上でそれぞれスライド移動する、請求項3に記載の真空処理装置。
  5. 前記第1および第2の搬送本体は、前記第1および第2の搬送路上で互いにすれ違いながら移動できる、請求項3または請求項4に記載の真空処理装置。
  6. 前記第1の搬送基台は、前記左側搬送エリア内に収まる第1の復動位置と前記左側搬送エリアから前記右側搬送エリアにはみ出る第1の往動位置との間で移動可能であり、
    前記第2の搬送基台は、前記右側搬送エリア内に収まる第2の復動位置と前記右側搬送エリアから前記左側搬送エリアにはみ出る第2の往動位置との間で移動可能である、
    請求項3〜5のいずれか一項に記載の真空処理装置。
  7. 前記第1および第2の搬送基台は、前記オフセット方向でスライド移動可能に前記第1および第2の搬送本体にそれぞれ搭載される、請求項3〜6のいずれか一項に記載の真空処理装置。
  8. 前記第1および第2の搬送アームは、それぞれ一つの被処理体を支持できるエンドエフェクタを有する、請求項3〜7のいずれか一項に記載の真空処理装置。
  9. 前記第1および第2の搬送アームは、それぞれ複数の被処理体を支持できるエンドエフェクタを有する、請求項3〜7のいずれか一項に記載の真空処理装置。
  10. 前記搬送本体は、前記真空処理室の底面よりも高い位置に設けられる、請求項3〜9のいずれか一項に記載の真空処理装置。
  11. 前記第1および第2の真空搬送ロボットに用いられるすべての駆動源が前記真空搬送室の外に設けられる、請求項1〜10のいずれか一項に記載の真空処理装置。
  12. 少なくとも1つの前記真空処理室において、処理済みの被処理体が搬出された直後に、室内に被処理体が無い状態で所定の後処理が行われる、請求項1〜11のいずれか一項に記載の真空処理装置。
  13. 室内が減圧状態に保たれる真空搬送室の周囲に、前記真空搬送室に隣接して設けられ、減圧下の室内で被処理体に所定の処理が行われる1つまたは複数の真空処理室と、前記搬送室に隣接して設けられ、室内が選択的に大気状態または減圧状態に切り換えられ、大気空間と前記真空搬送室との間で転送される被処理体を一時的に留め置く1つまたは複数のロードロック室とを配置する真空処理装置において、前記真空搬送室と前記真空処理室または前記ロードロック室との間で被処理体の受け渡しを行うために前記真空搬送室内に設けられる真空搬送装置であって、
    前記ロードロック室側から見て前記真空搬送室の左側搬送エリアおよび右側搬送エリアでそれぞれ奥行き方向に延びる第1および第2の搬送路上でそれぞれ前記真空搬送室内を移動できるように構成された第1および第2の真空搬送ロボットを備え、
    前記第1の真空搬送ロボットは、前記左側搬送エリアに隣接するすべての前記真空処理室に対して、および前記右側搬送エリアに隣接する少なくとも1つの前記真空処理室に対して、および少なくとも1つの前記ロードロック室に対して、被処理体の搬入または搬出のためのアクセスが可能であり、
    前記第2の真空搬送ロボットは、前記右側搬送エリアに隣接するすべての前記真空処理室に対して、および前記左側搬送エリアに隣接する少なくとも1つの前記真空処理室に対して、および少なくとも1つの前記ロードロック室に対して、被処理体の搬入または搬出のためのアクセスが可能である、
    真空搬送装置。
  14. 前記第1の真空搬送ロボットは、前記左側搬送エリア内にすべて収まって移動できる基本姿勢と、前記左側搬送エリアから前記右側搬送エリアにはみ出る姿勢とを任意に切り換えられるように構成され、
    前記第2の真空搬送ロボットは、前記右側搬送エリア内にすべて収まって移動できる基本姿勢と、前記右側搬送エリアから前記左側搬送エリアにはみ出る姿勢とを任意に切り換えられるように構成される、
    請求項13に記載の真空搬送装置。
  15. 前記第1および第2の真空搬送ロボットが、
    前記第1および第2の搬送路上でそれぞれ前記真空搬送室内を移動できるように構成された第1および第2の搬送本体と、
    前記奥行き方向と交差する水平なオフセット方向で移動できるように前記第1および第2の搬送本体にそれぞれ搭載された第1および第2の搬送基台と、
    水平面内で旋回運動できるとともに、旋回円の半径と平行な方向で直進移動できるように前記第1および第2の搬送基台にそれぞれ搭載され、かつ被処理体を支持できるように構成された第1および第2の搬送アームと
    をそれぞれ有する、請求項13または請求項14に記載の真空搬送装置。
JP2008321942A 2008-12-18 2008-12-18 真空処理装置及び真空搬送装置 Active JP5139253B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2008321942A JP5139253B2 (ja) 2008-12-18 2008-12-18 真空処理装置及び真空搬送装置
KR1020107013829A KR101192288B1 (ko) 2008-12-18 2009-12-16 진공 처리 장치 및 진공 반송 장치
US12/920,145 US8380337B2 (en) 2008-12-18 2009-12-16 Vacuum processing apparatus and vacuum transfer apparatus
CN2009801498492A CN102246286A (zh) 2008-12-18 2009-12-16 真空处理装置、真空运送装置
PCT/JP2009/006919 WO2010070896A1 (ja) 2008-12-18 2009-12-16 真空処理装置及び真空搬送装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008321942A JP5139253B2 (ja) 2008-12-18 2008-12-18 真空処理装置及び真空搬送装置

Publications (2)

Publication Number Publication Date
JP2010147207A true JP2010147207A (ja) 2010-07-01
JP5139253B2 JP5139253B2 (ja) 2013-02-06

Family

ID=42268575

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008321942A Active JP5139253B2 (ja) 2008-12-18 2008-12-18 真空処理装置及び真空搬送装置

Country Status (5)

Country Link
US (1) US8380337B2 (ja)
JP (1) JP5139253B2 (ja)
KR (1) KR101192288B1 (ja)
CN (1) CN102246286A (ja)
WO (1) WO2010070896A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012098871A1 (ja) * 2011-01-20 2012-07-26 東京エレクトロン株式会社 真空処理装置
JP2012175022A (ja) * 2011-02-24 2012-09-10 Disco Abrasive Syst Ltd ウエーハ加工装置
JP2014508025A (ja) * 2010-12-10 2014-04-03 スティールコー エスピーアー 完全な洗浄設備
JP2015534264A (ja) * 2012-09-10 2015-11-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理システム及び基板を処理する方法
JP2020096033A (ja) * 2018-12-11 2020-06-18 平田機工株式会社 基板搬送装置及び基板搬送システム
KR102132993B1 (ko) * 2020-04-27 2020-07-10 (주)볼타오토메이션 열과 압력에 의한 챔버의 변형방지장치
JP2021119638A (ja) * 2017-07-19 2021-08-12 東京エレクトロン株式会社 検査システム

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5168300B2 (ja) * 2010-02-24 2013-03-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
CN103594403B (zh) * 2012-08-15 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 片盒传输装置及具有其的半导体设备
JP2014093489A (ja) * 2012-11-06 2014-05-19 Tokyo Electron Ltd 基板処理装置
US9378994B2 (en) 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same
CN103624766A (zh) * 2013-04-27 2014-03-12 张家港诺信自动化设备有限公司 一种机器视觉并联分拣机器人
JP6213079B2 (ja) * 2013-09-09 2017-10-18 シンフォニアテクノロジー株式会社 Efem
KR101527901B1 (ko) * 2013-10-10 2015-06-10 피에스케이 주식회사 기판 처리 장치 및 기판 반송 방법
JP6246569B2 (ja) * 2013-11-20 2017-12-13 シロキ工業株式会社 ワーク搬送装置及びワーク搬送方法
KR102458230B1 (ko) 2014-01-21 2022-10-21 어플라이드 머티어리얼스, 인코포레이티드 저압 툴 교체를 허용하는 얇은 필름 캡슐화 프로세싱 시스템 및 프로세스 키트
KR102173658B1 (ko) * 2016-11-30 2020-11-03 주식회사 원익아이피에스 기판처리시스템
JP7344887B2 (ja) * 2018-02-15 2023-09-14 ラム リサーチ コーポレーション 移動式基板搬送チャンバ
JP7014055B2 (ja) * 2018-06-15 2022-02-01 東京エレクトロン株式会社 真空処理装置、真空処理システム、及び真空処理方法
KR102620088B1 (ko) * 2023-05-12 2024-01-02 에이피티씨 주식회사 기판 이송 장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001075965A1 (fr) * 2000-04-05 2001-10-11 Tokyo Electron Limited Dispositif de traitement
JP2006073835A (ja) * 2004-09-02 2006-03-16 Dainippon Screen Mfg Co Ltd 基板搬送装置およびそれを用いた基板処理装置
JP2007012720A (ja) * 2005-06-28 2007-01-18 Tokyo Electron Ltd 基板処理装置,搬送装置,搬送装置の制御方法
JP2008030151A (ja) * 2006-07-28 2008-02-14 Daihen Corp 直線移動機構およびこれを用いた搬送ロボット

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
JPH0846013A (ja) 1994-05-23 1996-02-16 Tokyo Electron Ltd マルチチャンバ処理システム用搬送装置
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6487799B2 (en) * 2000-05-24 2002-12-03 Darrell Burk Removable hitch attachment for earth-moving equipment
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
KR101120497B1 (ko) * 2002-11-15 2012-02-29 외를리콘 솔라 아게, 트뤼프바흐 2차원 확장 기판의 진공처리용 장치 및 그기판의 제조방법
JP4283559B2 (ja) 2003-02-24 2009-06-24 東京エレクトロン株式会社 搬送装置及び真空処理装置並びに常圧搬送装置
JP4353903B2 (ja) 2005-01-07 2009-10-28 東京エレクトロン株式会社 クラスタツールの処理システム
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US8246284B2 (en) * 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001075965A1 (fr) * 2000-04-05 2001-10-11 Tokyo Electron Limited Dispositif de traitement
JP2006073835A (ja) * 2004-09-02 2006-03-16 Dainippon Screen Mfg Co Ltd 基板搬送装置およびそれを用いた基板処理装置
JP2007012720A (ja) * 2005-06-28 2007-01-18 Tokyo Electron Ltd 基板処理装置,搬送装置,搬送装置の制御方法
JP2008030151A (ja) * 2006-07-28 2008-02-14 Daihen Corp 直線移動機構およびこれを用いた搬送ロボット

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9586242B2 (en) 2010-12-10 2017-03-07 Steelco Spa Perfected washing plant
JP2014508025A (ja) * 2010-12-10 2014-04-03 スティールコー エスピーアー 完全な洗浄設備
US9443749B2 (en) 2011-01-20 2016-09-13 Tokyo Electron Limited Vacuum processing apparatus
US20130302115A1 (en) * 2011-01-20 2013-11-14 Tokyo Electron Limited Vacuum processing apparatus
JP6006643B2 (ja) * 2011-01-20 2016-10-12 東京エレクトロン株式会社 真空処理装置
WO2012098871A1 (ja) * 2011-01-20 2012-07-26 東京エレクトロン株式会社 真空処理装置
TWI571953B (zh) * 2011-01-20 2017-02-21 東京威力科創股份有限公司 真空處理裝置
JP2012175022A (ja) * 2011-02-24 2012-09-10 Disco Abrasive Syst Ltd ウエーハ加工装置
JP2015534264A (ja) * 2012-09-10 2015-11-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理システム及び基板を処理する方法
US20150348811A1 (en) * 2012-09-10 2015-12-03 Applied Materials, Inc. Substrate processing system and method of processing substrates
JP2021119638A (ja) * 2017-07-19 2021-08-12 東京エレクトロン株式会社 検査システム
JP2020096033A (ja) * 2018-12-11 2020-06-18 平田機工株式会社 基板搬送装置及び基板搬送システム
WO2020122121A1 (ja) * 2018-12-11 2020-06-18 平田機工株式会社 基板搬送装置及び基板搬送システム
JP7154986B2 (ja) 2018-12-11 2022-10-18 平田機工株式会社 基板搬送装置及び基板搬送システム
KR102132993B1 (ko) * 2020-04-27 2020-07-10 (주)볼타오토메이션 열과 압력에 의한 챔버의 변형방지장치

Also Published As

Publication number Publication date
CN102246286A (zh) 2011-11-16
KR101192288B1 (ko) 2012-10-17
US20110238201A1 (en) 2011-09-29
KR20100089107A (ko) 2010-08-11
WO2010070896A1 (ja) 2010-06-24
JP5139253B2 (ja) 2013-02-06
US8380337B2 (en) 2013-02-19

Similar Documents

Publication Publication Date Title
JP5139253B2 (ja) 真空処理装置及び真空搬送装置
US8016542B2 (en) Methods and apparatus for extending the reach of a dual scara robot linkage
KR101887110B1 (ko) 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
JP6006643B2 (ja) 真空処理装置
US6746195B2 (en) Semiconductor transfer and manufacturing apparatus
KR101429827B1 (ko) 반송 시스템
KR20150131117A (ko) 기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법
TWI408766B (zh) Vacuum processing device
TWI762518B (zh) 用於工件處理之系統與方法
KR20010023014A (ko) 다중스테이션 장비용 웨이퍼 핸들러
TW202129825A (zh) 多指機器人設備、電子元件製造設備及適於在電子元件製造過程中運輸多個基板的方法
US11358809B1 (en) Vacuum robot apparatus for variable pitch access
WO2013077322A1 (ja) ワーク搬送システム
JP2010080469A (ja) 真空処理装置及び真空搬送装置
WO2018016257A1 (ja) 基板処理装置
US11538705B2 (en) Plasma processing system and operating method of the same
US20220130701A1 (en) Substrate transfer apparatus, substrate transfer method, and substrate processing system
JP6296164B2 (ja) ロボットシステムおよび搬送方法
US20240071802A1 (en) Operations of robot apparatuses within rectangular mainframes
JP2022523156A (ja) 2リンクアームを有するリニアロボット
TW202405992A (zh) 具有機器人的淺深度設備前端模組

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120412

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120807

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121004

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121113

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121115

R150 Certificate of patent or registration of utility model

Ref document number: 5139253

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151122

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250