KR101192288B1 - 진공 처리 장치 및 진공 반송 장치 - Google Patents

진공 처리 장치 및 진공 반송 장치 Download PDF

Info

Publication number
KR101192288B1
KR101192288B1 KR1020107013829A KR20107013829A KR101192288B1 KR 101192288 B1 KR101192288 B1 KR 101192288B1 KR 1020107013829 A KR1020107013829 A KR 1020107013829A KR 20107013829 A KR20107013829 A KR 20107013829A KR 101192288 B1 KR101192288 B1 KR 101192288B1
Authority
KR
South Korea
Prior art keywords
conveyance
vacuum
chamber
area
transfer
Prior art date
Application number
KR1020107013829A
Other languages
English (en)
Other versions
KR20100089107A (ko
Inventor
츠토무 히로키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20100089107A publication Critical patent/KR20100089107A/ko
Application granted granted Critical
Publication of KR101192288B1 publication Critical patent/KR101192288B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J5/00Manipulators mounted on wheels or on carriages
    • B25J5/02Manipulators mounted on wheels or on carriages travelling along a guideway
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(과제) 클러스터 툴에 있어서 플랫폼의 종방향 스페이스를 하방으로 연장하는 일 없이 반송 능력을 향상시킨다.
(해결 수단) 플랫폼(PF) 내에서, 제1 반송 로봇(16L)은, 좌측 가이드 레일(46L)상에서 슬라이드 이동할 수 있는 반송 본체(48L)와, 오프셋 방향(X방향)에서 슬라이드 이동할 수 있는 반송 기대(50L)와, 수평면 내에서 선회 이동할 수 있음과 함께, 선회 원의 반경과 평행인 방향에서 직진 이동할 수 있고, 그리고 1매의 반도체 웨이퍼(W)를 지지할 수 있는 슬라이더형의 반송 아암(52L)을 갖고 있다. 제2 반송 로봇(16R)도, 각부의 운동 또는 이동의 방향이 좌우 대칭인 점을 제외하고 제1 반송 로봇(16L)과 동일한 구성 및 기능을 갖고 있다.

Description

진공 처리 장치 및 진공 반송 장치{VACUUM PROCESSING APPARATUS AND VACUUM TRANSFER APPARATUS}
본 발명은, 클러스터 툴(cluster tool) 방식의 진공 처리 장치 및 진공 반송 장치에 관한 것이다.
진공 반송실을 갖는 진공 처리 장치의 일 형태로서, 클러스터 툴 방식이 잘 알려져 있다. 클러스터 툴 방식은, 프로세스의 일관화, 연결화 혹은 복합화를 도모하기 위해, 감압하에서 소정의 처리를 행하는 복수의 프로세스 챔버를 진공의 플랫폼의 주위에 배치하는 방식으로, 멀티 챔버 방식이라고도 칭해지며, 전형적으로는 반도체 제조 장치에서 채용되고 있다(예를 들면 특허문헌 1 참조).
일반적으로, 클러스터 툴에 있어서는, 1개의 피(被)처리체가 복수의 프로세스 챔버에 반송되어 동일한 종류 또는 다른 종류의 진공 처리를 연속적으로 받을 수 있도록 되어 있다. 반도체 디바이스 제조에서는, CVD(화학적 기상 성장), 스퍼터, 드라이 에칭, 드라이 클리닝 등이 클러스터 툴 내에서 행해지는 대표적인 진공 처리이다.
상기와 같은 복수의 프로세스 챔버에 걸치는 피처리체의 반송은 플랫폼을 통하여 행해지기 때문에, 플랫폼의 실내는 상시 감압 상태로 유지된다. 이러한 플랫폼으로 미처리된 피처리체를 대기 공간으로부터 반송하기 위해, 그리고 일련의 진공 처리를 끝낸 피처리체를 플랫폼으로부터 대기 공간으로 반출하기 위해, 플랫폼에는 게이트 밸브를 통하여 대기/진공 인터페이스의 로드락 챔버도 접속된다. 플랫폼의 실내에는, 각 프로세스 챔버 또는 로드락 챔버와의 사이에서 기판의 인수인도를 감압하에서 행하기 위한 진공 반송 장치가 형성된다. 이런 종류의 진공 반송 장치는, 각 프로세스 챔버 또는 로드락 챔버에 대하여 피처리체의 반입·반출을 행하기 위한 신축 가능한 반송 아암을 가지며, 액세스처에 따라서 반송 아암을 선회시킬 수 있도록 되어 있다.
그러나, 클러스터 툴 방식의 진공 처리 장치에 있어서는, 피처리체 카세트의 투입, 내보내기가 행해지는 로드 포트측으로부터 보아 장치 전체의 폭 사이즈를 축소 내지 유지하면서, 플랫폼을 안쪽 깊이 방향으로 길게 연장함으로써, 그 긴 변을 따라서 프로세스 챔버를 증설하여, 장치 전체의 챔버 탑재 대수를 늘리는 레이아웃이 반도체 웨이퍼의 대형화에도 유리하게 대응할 수 있는 방법으로서 하나의 경향이 되고 있다(예를 들면 특허문헌 2 참조).
이와 같이 프로세스 챔버의 탑재 대수가 많아지면, 진공 반송 장치의 부담이 커져, 처리 장치측의 전(全)처리 능력에 진공 반송 장치측의 반송 능력이 쫓아 가지 못하게 되는 것이 과제가 되고 있다.
이 점에서, 플랫폼 내에 1대의 진공 반송 로봇을 형성하는 종래의 클러스터 툴에 있어서는, 플랫폼에 연결되는 복수의 프로세스 모듈에 대해서 각각의 챔버 내에 1개의 피처리체가 체재하는 체재 시간과 그 체재의 전후에 당해 피처리체 때문에 모듈의 기능이 막히는 부수적 비지(busy) 시간을 서로 더한 모듈 사이클 시간을 실질적으로 동일한 길이로 설정하고, 각 피처리체가 일순(一巡)하는 것과 동일한 순서로 2개의 반송 아암을 갖는 진공 반송 로봇이 그들 복수의 프로세스 모듈을 순회하며, 각각의 프로세스 모듈에 대한 액세스에서 처리완료된 피처리체를 한쪽 반송 아암으로 반출(픽; pick)하고 그와 교대로 후속의 다른 피처리체를 다른 한쪽 반송 아암으로 반입(플레이스; place)하는 방법이 채용되고 있다(예를 들면 특허문헌 3 참조).
그러나, 그러한 순회식 픽&플레이스의 방법은, 각 프로세스 모듈의 처리 시간이 반송 시간에 비하여 충분히 길 때는 반송 로봇의 반송 동작에도 여유가 있어 유효하게 기능하지만, 처리 시간이 짧으면 반송 로봇의 대응이 어려워져 반송 효율이나 스루풋(throughput)은 낮아진다. 한편, 처리완료된 피처리체를 반출(픽)한 직후에 당해 프로세스 모듈에서 행해지는 후처리(예를 들면 퍼징(purging), 클리닝 등)가 길게 걸리면, 반송 로봇은 소지한 미처리된 피처리체에 대해서 플레이스 동작을 행하기 위해 그 후처리가 종료하기까지 당해 프로세스 모듈의 앞에서 기다리는 처지가 되어, 이 긴 대기 시간에 의해 시스템 전체의 스루풋은 크게 저하된다.
또한, 상기와 같이 프로세스 모듈(프로세스 챔버)의 탑재 대수는 늘어나는 경향에 있어, 플랫폼 내의 기판 반송 동작을 1대의 진공 반송 로봇으로 전부 처리하는 것은 이제 한계에 와 있다.
본 발명자는, 클러스터 툴에 있어서 1대의 진공 반송 로봇을 이용하는 플랫폼의 반송 능력의 한계를 타파하기 위해, 플랫폼 내에서 2개의 이동대 구동 기구에 의해 좁은 공통의 반송 공간 내에 있는 2개의 이동대 내지 아암 기구를 수평 상태에서 서로 간섭하는 일 없이 상하 방향에 있어서 서로의 위치 교환을 가능하게 하도록 상하 방향 및 수평 방향에서 이동시키도록 한 진공 처리 장치를 특허문헌 4에서 제안하고 있다.
일본공개특허공보 평8―46013호 일본공개특허공보 2007―12720호 일본공개특허공보 2006―190894호 일본공개특허공보 2004―265947호
상기 특허문헌 4에서 개시된 종래의 진공 처리 장치는, 플랫폼 내에서 실질상 2대의 반송 로봇을 동시 가동시키는 방식이며, 그에 따라 반송 효율 및 스루풋을 향상할 수 있지만, 그래도 아직 개선해야 할 과제가 몇 가지 있다.
제1로, 각 이동대 구동 기구가, 하방에 기부(基部)를 갖고 수직(연직)면상에서 신축 선회 가능한 종(縱)다관절 로봇의 형태를 채용하기 때문에, 연직 방향에서 큰 스페이스를 요한다. 게다가, 이 이동대 구동 기구의 상방에서 2조의 이동대 내지 아암 기구를 위치 교환 가능하게 상하 방향에서 이동시키도록 되어 있다. 여기에서, 아암 기구의 정상(頂上) 위치는, 프로세스 챔버의 피처리체 반입출구에 대응한 높이로 설정된다. 이 때문에, 이동대 구동 기구(특히 기부)는, 프로세스 챔버보다도 낮은 위치 공간에서 가동하게 된다.
그러나, 최근의 프로세스 모듈은 진공 배기계에 대용적의 APC(Automatic Pressure Control) 밸브를 상용하도록 되어 있어, 이 APC 밸브가 프로세스 챔버의 아래에서 플랫폼측으로 튀어나올 정도의 점유 스페이스를 필요로 한다. 이에 따라, 플랫폼은, 프로세스 챔버보다도 낮은 하방의 스페이스를 프로세스 모듈을 위해 비워두지 않으면 안되어, 반송 기구를 위해 이용할 수 없게 되고 있다.
즉, 플랫폼에 상기와 같은 종다관절 로봇의 형태를 채용하는 이동대 구동 기구를 형성하는 구성은 채용이 곤란해지고 있다.
제2로, 플랫폼 내에서 2개의 이동대의 높이 위치를 상호 교환 또는 치환할 때에, 각 이동대에 지지되어 있는 피처리체의 위를 다른 이동대가 통과하기 때문에, 피처리체에 파티클이 부착될 우려가 있다.
제3으로, 이동대를 하강 이동시킬 때에, 가속도가 상향으로 작용하기 때문에, 피처리체에 대한 지지(hold)력이 약해져, 피처리체가 미끄러질(위치 어긋남) 우려가 있다.
또한, 이동대 구동 기구(종다관절 로봇)는, 상기와 같이 큰 동작 스페이스를 요할 뿐만 아니라, 그 자체가 대규모인 것이어서, 비용적으로도 실시 곤란하다.
본 발명은, 이러한 종래 기술의 문제점을 감안하여 이루어진 것으로서, 클러스터 툴에 있어서 플랫폼의 종방향 스페이스를 하방으로 연장하는 일 없이 기구 및 동작이 심플하고 효율적인 진공 반송 로봇에 의해 반송 능력을 크게 향상시키는 진공 처리 장치 및 진공 반송 장치를 제공한다.
본 발명의 진공 처리 장치는, 실내가 감압 상태로 유지되는 진공 반송실과, 상기 진공 반송실 주위에 인접하여 형성되고, 감압하의 실내에서 피처리체에 소정의 처리가 행해지는 1개 또는 복수의 진공 처리실과, 상기 진공 반송실의 주위에 인접하여 형성되고, 실내가 선택적으로 대기 상태 또는 감압 상태로 전환되며, 대기 공간과 상기 진공 반송실과의 사이에서 전송되는 피처리체를 일시적으로 유치(留置)하는 1개 또는 복수의 로드락실과, 상기 로드락실과 어느 하나의 상기 진공 처리실과의 사이에서, 또는 상이한 상기 진공 처리실의 사이에서, 피처리체를 반송하기 위해 상기 진공 반송실 내에 형성되는 제1 및 제2 진공 반송 로봇을 갖고, 상기 제1 및 제2 진공 반송 로봇이, 상기 로드락실측으로부터 보아 상기 진공 반송실의 좌측 반송 에어리어 및 우측 반송 에어리어에서 각각 안쪽 깊이 방향으로 연장되는 제1 및 제2 반송로상에서 각각 상기 진공 반송실 내를 이동할 수 있도록 구성되고, 상기 제1 진공 반송 로봇은, 상기 좌측 반송 에어리어에 인접하는 모든 상기 진공 처리실에 대하여, 그리고 상기 우측 반송 에어리어에 인접하는 적어도 1개의 상기 진공 처리실에 대하여, 그리고 적어도 1개의 상기 로드락실에 대하여, 피처리체의 반입 또는 반출을 위한 액세스가 가능하고, 상기 제2 진공 반송 로봇은, 상기 우측 반송 에어리어에 인접하는 모든 상기 진공 처리실에 대하여, 그리고 상기 좌측 반송 에어리어에 인접하는 적어도 1개의 상기 진공 처리실에 대하여, 그리고 적어도 1개의 상기 로드락실에 대하여, 피처리체의 반입 또는 반출을 위한 액세스가 가능한 구성으로 했다.
또한, 본 발명의 진공 반송 장치는, 실내가 감압 상태로 유지되는 진공 반송실 주위에, 상기 진공 반송실에 인접하여 형성되고, 감압하의 실내에서 피처리체에 소정의 처리가 행해지는 1개 또는 복수의 진공 처리실과, 상기 반송실에 인접하여 형성되고, 실내가 선택적으로 대기 상태 또는 감압 상태로 전환되며, 대기 공간과 상기 진공 반송실과의 사이에서 전송되는 피처리체를 일시적으로 유치하는 1개 또는 복수의 로드락실을 배치하는 진공 처리 장치에 있어서, 상기 진공 반송실과 상기 진공 처리실 또는 상기 로드락실과의 사이에서 피처리체의 인수 인도를 행하기 위해 상기 진공 반송실 내에 형성되는 진공 반송 장치로서, 상기 로드락실측으로부터 보아 상기 진공 반송실 좌측 반송 에어리어 및 우측 반송 에어리어에서 각각 안쪽 깊이 방향으로 연장되는 제1 및 제2 반송로상에서 각각 상기 진공 반송실 내를 이동할 수 있도록 구성된 제1 및 제2 진공 반송 로봇을 구비하고, 상기 제1 진공 반송 로봇은, 상기 좌측 반송 에어리어에 인접하는 모든 상기 진공 처리실에 대하여, 그리고 상기 우측 반송 에어리어에 인접하는 적어도 1개의 상기 진공 처리실에 대하여, 그리고 적어도 1개의 상기 로드락실에 대하여, 피처리체의 반입 또는 반출을 위한 액세스가 가능하고, 상기 제2 진공 반송 로봇은, 상기 우측 반송 에어리어에 인접하는 모든 상기 진공 처리실에 대하여, 그리고 상기 좌측 반송 에어리어에 인접하는 적어도 1개의 상기 진공 처리실에 대하여, 그리고 적어도 1개의 상기 로드락실에 대하여, 피처리체의 반입 또는 반출을 위한 액세스가 가능한 구성으로 했다.
본 발명의 진공 처리 장치 또는 진공 반송 장치에 있어서는, 진공 반송실 내에서, 제1 진공 반송 로봇은 좌측 반송 에어리어를 주된 동작 에어리어로 하면서도 우측 반송 에어리어로 비어져 나오는 것이 가능한 한편으로, 제2 진공 반송 로봇은 우측 반송 에어리어를 주된 동작 에어리어로 하면서도 좌측 반송 에어리어로 비어져 나오는 것도 가능하게 되어 있다. 즉, 본 발명의 매우 적합한 일 형태에 있어서, 제1 진공 반송 로봇은, 좌측 반송 에어리어 내에 모두 수용되어 이동할 수 있는 기본 자세와, 좌측 반송 에어리어로부터 우측 반송 에어리어로 비어져 나오는 자세를 임의로 전환할 수 있도록 구성되며, 제2 진공 반송 로봇은, 우측 반송 에어리어 내에 모두 수용되어 이동할 수 있는 기본 자세와, 우측 반송 에어리어로부터 좌측 반송 에어리어로 비어져 나오는 자세를 임의로 전환할 수 있도록 구성된다.
본 발명의 매우 적합한 일 형태에 있어서의 제1 및 제2 진공 반송 로봇은, 제1 및 제2 반송로상에서 각각 진공 반송실 내를 이동할 수 있도록 구성된 제1 및 제2 반송 본체와, 안쪽 깊이 방향과 교차하는 수평인 오프셋 방향에서 이동할 수 있도록 제1 및 제2 반송 본체에 각각 탑재된 제1 및 제2 반송 기대(pedestal)와, 수평면 내에서 선회 운동할 수 있음과 함께, 선회 원의 반경과 평행인 방향에서 직진 이동할 수 있도록 제1 및 제2 반송 기대에 각각 탑재되고, 그리고 피처리체를 지지할 수 있도록 구성된 제1 및 제2 반송 아암을 각각 갖는다.
본 발명의 매우 적합한 일 형태에 있어서는, 제1 및 제2 진공 반송 로봇이 좌측 및 우측 반송 에어리어를 주된 동작 에어리어로 하기 때문에, 제1 및 제2 반송 본체가 제1 및 제2 반송로상에서 각각 슬라이드 이동하도록 구성되어 있고, 또한 제1 및 제2 반송로상에서 서로 엇갈리면서 이동할 수 있도록 되어 있다.
또한, 다른 매우 적합한 일 형태에 있어서는, 제1 진공 반송 로봇이 좌측 반송 에어리어로부터 우측 반송 에어리어로 비어져 나올 수 있도록 하기 위해, 제1 반송 기대가, 좌측 반송 에어리어 내에 수용되는 제1 복동(復動) 위치와 좌측 반송 에어리어로부터 우측 반송 에어리어로 비어져 나오는 제1 왕동(往動) 위치와의 사이에서 이동 가능하게 되어 있다. 또한, 제2 진공 반송 로봇이 우측 반송 에어리어로부터 좌측 반송 에어리어로 비어져 나올 수 있도록 하기 위해, 제2 반송 기대가, 우측 반송 에어리어 내에 수용되는 제2 복동 위치와 우측 반송 에어리어로부터 좌측 반송 에어리어로 비어져 나오는 제2 왕동 위치와의 사이에서 이동 가능하게 되어 있다. 이 경우, 제1 및 제2 반송 기대는, 오프셋 방향에서 슬라이드 이동 가능하게 제1 및 제2 반송 본체에 각각 탑재되는 것이 바람직하다.
본 발명에 있어서는, 제1 진공 반송 로봇은, 좌측 반송 에어리어에 인접하는 모든 진공 처리실에 액세스할 수 있을 뿐만 아니라, 우측 반송 에어리어에 인접하는 적어도 1개의 진공 처리실에도 액세스 가능하고, 또한 적어도 1개의 로드락실에도 액세스 가능하다. 한편, 제2 진공 반송 로봇은, 우측 반송 에어리어에 인접하는 모든 진공 처리실에 액세스할 수 있을 뿐만 아니라, 좌측 반송 에어리어에 인접하는 적어도 1개의 진공 처리실에도 액세스 가능하고, 또한 적어도 1개의 로드락실에도 액세스 가능하다.
상기와 같은 제1 및 제2 진공 반송 로봇의 반송 기능을 조합하여 양자를 연속 동작시킴으로써, 프로세스 챔버의 어느 것에 대하여도 먼저 반송 로봇 한쪽이 저스트 인 타임(just in time)으로 피처리체를 반출하고, 후에 다른 한쪽(경우에 따라서는 재차 한쪽)이 저스트 인 타임으로 다른 피처리체를 반입할 수 있다.
또한, 본 발명에 있어서는, 상기와 같이 제1 및 제2 진공 반송 로봇의 각부 즉 반송 본체, 반송 기대, 반송 아암이 수평 방향에서 슬라이드 동작 또는 선회 운동을 행하는 구성이며, 종(연직)방향의 굴신(屈伸)·신축 동작이나 선회 운동은 일절 행하지 않기 때문에, 종(연직)방향에서 큰 동작 스페이스를 필요로 하지 않는다. 이에 따라, 진공 반송실 종 방향 사이즈를 작게 할 수 있다. 또한, 진공 반송실 내에 있어서, 피처리체의 위를 반송 기구의 부재가 통과하는 일은 없기 때문에, 피처리체에 파티클이 부착될 가능성도 적다. 또한, 진공 반송실 내에 있어서, 피처리체에 종(연직)방향의 가속도(특히 상향의 가속도)를 부여하는 일이 없기 때문에, 반송 아암상에서 피처리체를 안정되게 지지할 수 있다.
또한, 상기와 같이 반송 로봇의 각부를 수평 슬라이드/수평 선회형의 기구로 하는 경우는, 각부의 구동원(바람직하게는 전부의 구동원)을 진공 처리실의 밖에 배치하는 것이 용이하며, 그에 따라 전기 케이블류를 수용하는 관절 덕트 또는 플렉시블관을 진공 처리실 내에 둘러칠 필요가 없어져, 반송 로봇의 이동 범위나 슬라이드 스트로크를 크게 할 수 있다.
본 발명의 진공 처리 장치 또는 진공 반송 장치에 의하면, 상기와 같은 구성 및 작용에 의해, 클러스터 툴에 있어서 플랫폼의 종방향 스페이스를 하방으로 연장하는 일 없이 기구 및 동작이 심플하고 효율적인 진공 반송 로봇에 의해 반송 능력을 크게 향상시킬 수 있다.
도 1은 본 발명의 일 실시 형태에 있어서의 클러스터 툴 방식의 진공 처리 장치의 구성을 나타내는 대략 평면도이다.
도 2는 상기 진공 처리 장치에 있어서의 플랫폼(진공 반송실) 주위의 종방향의 레이아웃을 개략적으로 나타내는 대략 단면도이다.
도 3은 상기 진공 처리 장치의 플랫폼 내에 형성되는 제1 및 제2 진공 반송 로봇의 구성을 나타내는 사시도이다.
도 4는 실시 형태에 있어서의 플랫폼 내의 제1 및 제2 진공 반송 로봇의 웨이퍼 반입/반출 동작의 일 단계를 나타내는 대략 평면도이다.
도 5는 상기 제1 및 제2 진공 반송 로봇의 웨이퍼 반입/반출 동작의 일 단계를 나타내는 대략 평면도이다.
도 6은 상기 제1 및 제2 진공 반송 로봇의 웨이퍼 반입/반출 동작의 일 단계를 나타내는 대략 평면도이다.
도 7은 상기 제1 및 제2 진공 반송 로봇의 웨이퍼 반입/반출 동작의 일 단계를 나타내는 대략 평면도이다.
도 8은 상기 제1 및 제2 진공 반송 로봇의 웨이퍼 반입/반출 동작의 일 단계를 나타내는 대략 평면도이다.
도 9는 상기 제1 및 제2 진공 반송 로봇의 웨이퍼 반입/반출 동작의 일 단계를 나타내는 대략 평면도이다.
도 10은 상기 제1 및 제2 진공 반송 로봇의 웨이퍼 반입/반출 동작의 일 단계를 나타내는 대략 평면도이다.
도 11은 플랫폼 내에서 동시에 가동하는 상기 제1 및 제2 반송 로봇의 상호 위치 관계에 따른 제1 패턴을 나타내는 대략 평면도이다.
도 12는 상기 제1 및 제2 반송 로봇의 상호 위치 관계에 따른 제2 패턴을 나타내는 대략 평면도이다.
도 13은 플랫폼 내에서 동시에 가동하는 상기 제1 및 제2 반송 로봇의 상호 위치 관계에 따른 제3 패턴을 나타내는 대략 평면도이다.
도 14는 상기 제1 및 제2 반송 로봇의 상호 위치 관계에 따른 제4 패턴을 나타내는 대략 평면도이다.
도 15는 실시 형태에 있어서의 아암 구동 기구의 구성을 나타내는 분해 사시도이다.
도 16은 상기 아암 구동 기구의 주요부의 구성을 나타내는 일부 단면 측면도이다.
도 17은 실시 형태의 반송 로봇에 있어서 반송 기대를 오프셋 방향에서 슬라이드 이동시키기 위한 구동 기구를 나타내는 사시도이다.
도 18은 도 17의 구동 기구에 이용되는 스플라인축의 단면 구조를 나타내는 단면도이다.
(발명을 실시하기 위한 최량의 형태)
이하, 첨부 도면을 참조하여 본 발명의 매우 적합한 실시 형태에 대해서 설명한다.
도 1에, 본 발명의 일 실시 형태에 따른 클러스터 툴 방식의 진공 처리 장치의 전체 구성을 나타낸다. 이 진공 처리 장치는, 클린 룸 내에 설치되며, 장치 안쪽 깊이 방향(도면의 Y방향)으로 연장되는 한 쌍의 변이 다른 변보다도 약 2배 긴 오각형의 형상을 갖는 진공 플랫폼(진공 반송실)(PF) 주위에 인접하여 6개의 진공 프로세스 챔버(진공 처리실)(PC1, PC2, PC3, PC4, PC5, PC6)와 2개의 로드락 챔버(로드락실)(LLCa, LLCb)를 클러스터 형상으로 배치하고 있다.
보다 상세하게는, 플랫폼(PF)에는, 도면의 시계 방향의 순서로, 좌측의 장변에 제1 및 제2 프로세스 챔버(PC1, PC2)가 게이트 밸브(GV1, GV2)를 통하여 각각 연결되고, 좌측 및 우측의 빗변에 제3 및 제4 프로세스 챔버(PC3, PC4)가 게이트 밸브(GV3, GV4)를 통하여 각각 연결되고, 우측의 장변에 제5 및 제6 프로세스 챔버(PC5, PC6)가 게이트 밸브(GV5, GV6)를 통하여 각각 연결되며, 밑변에 양(兩) 로드락 챔버(LLCa, LLCb)가 좌우로 나뉘어 게이트 밸브(GVa, GVb)를 통하여 각각 연결되어 있다.
각각의 프로세스 챔버(PC1~PC6)는, 전용의 진공 배기 장치(10)에 접속되어 있으며(도 2), 실내가 가변의 압력으로 상시 감압 상태로 유지된다. 전형적으로는, 도 2에 나타내는 바와 같이 실내의 중앙부에 배치한 재치대(holding stage; 12)의 위에 피처리체 예를 들면 반도체 웨이퍼(W)를 올리고, 소정의 용력(用力)(처리 가스, 고주파 등)을 이용하여 소요의 매엽 처리, 예를 들면 CVD, ALD(Atomic Layer Deposition) 혹은 스퍼터 등의 진공 성막 처리, 열 처리, 반도체 웨이퍼 표면의 클리닝 처리, 드라이 에칭 가공 등을 행하도록 되어 있다.
플랫폼(PF)은, 전용의 진공 배기 장치(14)에 접속되어 있으며(도 2), 실내가 통상은 일정한 압력으로 상시 감압 상태로 유지된다. 실내에는, 각각 독립적으로 웨이퍼 반송 동작을 행할 수 있는 2대의 진공 반송 로봇(16L, 16R)이 설치되어 있다. 이들 진공 반송 로봇(16L, 16R)의 구성 및 작용은, 뒤에 상세히 설명한다.
로드락 챔버(LLCa, LLCb)는, 각각 개폐 밸브를 통하여 전용의 진공 배기 장치(도시하지 않음)에 접속되어 있으며, 실내를 대기압 상태 및 진공 상태 어느 쪽으로도 수시 전환할 수 있도록 되어 있다. 플랫폼(PF)으로부터 보아 반대측으로 로드락 챔버(LLCa, LLCb)는 각각 도어 밸브(DVa, DVb)를 통하여 대기압하의 로더 반송실(LM)에 연결되어 있다. 로드락 챔버(LLCa, LLCb)의 실내의 중앙부에는 유치중인 반도체 웨이퍼(W)를 올리는 수도대(受渡台; 18)가 배치되어 있다.
로더 반송실(LM)과 인접하여 로드 포트(LP) 및 오리엔테이션 플랫(orientation flat) 맞춤 기구(ORT)가 형성되어 있다. 로드 포트(LP)는, 외부 반송차(車)와의 사이에서 예를 들면 1배치(batch) 25매의 반도체 웨이퍼(W)를 수납 가능한 웨이퍼 카세트(CR)의 투입, 내보내기에 이용된다. 여기에서, 웨이퍼 카세트(CR)는 SMIF(Standard Mechanical Interface)나 FOUP(Front Opening Unified Pod) 등의 박스 또는 포드로서 구성되어 있다. 오리엔테이션 플랫 맞춤 기구(ORT)는, 반도체 웨이퍼(W)의 오리엔테이션 플랫 또는 노치(notch)를 소정의 위치 또는 방향으로 맞추기 위해 이용된다.
로더 반송실(LM) 내에 형성되어 있는 대기 반송 로봇(20)은, 신축 가능한 한쌍의 반송 아암(22, 24)을 갖고, 리니어 모터(26)의 리니어 가이드(28) 상에서 수평 방향으로 이동 가능함과 함께, 승강·선회 가능하여, 로드 포트(LP), 오리엔테이션 플랫 맞춤 기구(ORT) 및 로드락 챔버(LLCa, LLCb)의 사이를 왕래하며 반도체 웨이퍼(W)를 매엽 단위(혹은 배치 단위)로 반송한다. 여기에서, 대기 반송 로봇(20)은, 각각의 웨이퍼 카세트(CR) 전(前)면에 형성되어 있는 LP 도어(25)의 열린 상태에 있어서 반도체 웨이퍼(W)를 로더 반송실(LM) 내로 반입한다. 리니어 가이드(28)는, 예를 들면 영구 자석으로 이루어지는 마그넷, 구동용 자기 코일 및 스케일 헤드 등으로 구성되며, 주(主)제어부(30) 또는 대기 반송계 컨트롤러(도시하지 않음)로부터의 커맨드에 따라서 대기 반송 로봇(20)의 직선 운동 제어를 행한다.
도 2에, 플랫폼(PF) 주위의 종방향의 레이아웃을 나타낸다. 도면 중, PCL, PCR은, 플랫폼(PF)의 좌변 및 우변에 각각 인접하여 배치되는 프로세스 챔버를 나타내고 있다. 프로세스 챔버(PCL, PCR)는, 소요의 진공 매엽 처리를 행하기 위한 하드웨어식을 유닛화한 프로세스 모듈(PML, PMR)에 포함되어 있다. 좌측의 프로세스 모듈(PML)에 있어서, 프로세스 챔버(PCL)의 아래에는 진공 배기 장치(10)를 구성하는 배기관(32), APC 밸브(34) 및 진공 펌프(예를 들면 터보 분자 펌프)(36)가 배치된다. 여기에서, APC 밸브(34)는, 횡방향 사이즈가 크고, 플랫폼(PF)의 아래로 튀어나와 있다. 우측의 프로세스 모듈(PMR)도, 좌측의 프로세스 모듈(PML)과 대략 동일한 레이아웃 및 사이즈를 갖고 있다.
플랫폼(PF)은, 종방향에서 프로세스 챔버(PCL, PCR)와 동일하거나 그것에 가까운 사이즈를 갖고 있다. 플랫폼(PF)의 바로 아래의 스페이스(38)는, 진공 배기 장치(14)를 구성하는 배기관(40) 및 진공 펌프(42)가 형성될 정도로 충분히 여유가 있어, 양측의 프로세스 모듈(PML, PMR)로부터 APC 밸브(34)가 내측으로 튀어나오는 것을 허용하고 있다. 또한, 이 스페이스(38)는, 플랫폼(PF) 및 프로세스 모듈(PML, PMR)의 메인터넌스에도 이용된다.
도 3에, 플랫폼(PF) 내에 형성되는 2대의 진공 반송 로봇(이하, 간단히 「반송 로봇」이라고 약칭함)(16L, 16R)의 구성을 나타낸다. 플랫폼(PF) 내의 반송 공간은 로드락 챔버(LLCa, LLCb)(도 1)측으로부터 보아 반송 기능적으로 좌우 반반으로 구획되며, 좌측의 반송 에어리어(TEL) 및 우측의 반송 에어리어(TER)의 저부(bottom)에는 안쪽 깊이 방향(Y방향)으로 연장되는 좌측 가이드 레일(46L) 및 우측 가이드 레일(46R)이 각각 깔려 있다. 제1(좌측) 반송 로봇(16L)은 좌측 가이드 레일(46L) 상에서 동작하고, 제2(우측) 반송 로봇(16R)은 우측 가이드 레일(46R) 상에서 동작하도록 되어 있다.
제1 반송 로봇(16L)은, 좌측 가이드 레일(46L) 상에서 플랫폼(PF) 내를 슬라이드 이동할 수 있도록 구성된 직방체 형상의 반송 본체(48L)와, 안쪽 깊이 방향(Y방향)과 직교하는 수평인 오프셋 방향(X방향)에서 슬라이드 이동할 수 있도록 반송 본체(48L)에 탑재된 직방체 형상의 반송 기대(50L)와, 수평면 내에서 선회 이동할 수 있음과 함께, 선회원의 반경과 평행인 방향에서 직진 이동할 수 있도록 반송 기대(50L)에 탑재되고, 그리고 1매의 반도체 웨이퍼(W)를 지지할 수 있도록 구성된 슬라이더형(비(非)굴신형)의 반송 아암(52L)을 갖고 있다.
반송 본체(48L)는, 예를 들면 볼(ball)나사 기구(54L)에 의해 직진 구동된다. 이 볼나사 기구(54L)는, 그 이송 나사(56L)의 일단이, 플랫폼(PF)의 밖에 배치되는 모터(58L)에 결합되어 있다. 반송 본체(48L)에는, 이송 나사(56L)와 나사 결합하는 볼나사(도시하지 않음)가 부착되어 있다.
반송 기대(50L)는, 예를 들면, 반송 본체(48L)의 상면에 부착된 가이드 레일(60L) 및 볼나사 기구(62L)에 의해 오프셋 방향(X방향)에서 슬라이드 이동할 수 있도록 되어 있다. 볼나사 기구(62L)의 구동원 즉 모터(도 3에서는 도시하지 않음)는, 반송 본체(48L)에 부착하는 것도 가능하지만, 후술하는 바와 같이 플랫폼(PF)의 밖에 배치하는 것도 가능하다(도 17).
반송 아암(52L) 및 아암본체(55L)의 구성은 뒤에 상술한다.(도 15~도 16)
제2 반송 로봇(16R)도, 각부의 운동 또는 이동의 방향이 좌우 대칭인 점을 제외하고 제1 반송 로봇(16L)과 동일한 구성 및 기능을 갖고 있다. 도면 중, 제2 반송 로봇(16R)의 각 요소에는, 그것과 대응하는 제1 반송 로봇(16L)의 요소와 동일한 숫자를 갖고 "L"을 "R"로 치환한 부호를 붙이고 있다.
도 3에 있어서, 플랫폼(PF)의 주회(周回) 방향에서 소정의 간격을 두고 측면에 형성되어 있는 웨이퍼 반입출구(M1, M2, M3, M4, M5, M6, Ma, Mb)는, 게이트 밸브(GV1, GV2, GV3, GV4, GV5, GV6, GVa, GVb)(도 1)와 각각 접속한다.
여기에서, 로드 포트(LP)에 투입된 웨이퍼 카세트(CR) 내의 1매의 반도체 웨이퍼(Wi)에 이 클러스터 툴 내에서 일련의 처리를 받게 하기 위한 기본적인 웨이퍼 반송 시퀀스를 설명한다. 주제어부(30)는, 이 웨이퍼 반송 시퀀스를 실행하기 위해, 장치 내의 각부를 직접적으로, 또는 국소 컨트롤러(도시하지 않음)를 통하여 간접적으로 제어한다.
로더 반송실(LM) 내의 대기 반송 로봇(20)은, 로드 포트(LP)상의 웨이퍼 카세트(CR)로부터 1매의 반도체 웨이퍼(Wi)를 취출하여, 이 반도체 웨이퍼(Wi)를 오리엔테이션 플랫 맞춤 기구(ORT)로 반송하여 오리엔테이션 플랫 맞춤을 하게 하고, 그것이 끝난 후에 로드락 챔버(LLCa, LLCb)의 어느 한쪽 예를 들면 좌측 로드락 챔버(LLCa)로 이송한다. 이송처인 좌측 로드락 챔버(LLCa)는, 대기압 상태에서 반도체 웨이퍼(Wi)를 받아, 반입 후에 실내를 진공 흡인하여, 감압 상태에서 반도체 웨이퍼(Wi)를 플랫폼(PF)의 제1(좌측) 반송 로봇(16L)으로 건넨다.
제1 반송 로봇(16L)은, 반송 아암(52L)을 복동 위치와 왕동 위치와의 사이에서 왕복 슬라이드 이동시켜, 반도체 웨이퍼(Wi)를 좌측 로드락 챔버(LLCa)로부터 취출하여, 1번째 프로세스 챔버(예를 들면 PC1)로 반입한다. 프로세스 챔버(PC1) 내에서는, 미리 설정된 레시피에 따라 소정의 프로세스 조건(가스, 압력, 전력, 시간 등)에서 제1 공정의 매엽 처리가 행해진다.
이 제1 공정의 매엽 처리가 종료한 후에, 제1 또는 제2 반송 로봇(16L, 16R)의 어느 쪽인가가, 반도체 웨이퍼(Wi)를 프로세스 챔버(PC1)로부터 반출하여, 그 반출한 반도체 웨이퍼(Wi)를 뒤이어 2번째 프로세스 챔버(예를 들면 PC2)로 반입한다. 이 2번째 프로세스 챔버(PC2)에서도, 미리 설정된 레시피에 따라 소정의 프로세스 조건에서 제2 공정의 매엽 처리가 행해진다.
이 제2 공정의 매엽 처리가 종료하면, 제1 또는 제2 반송 로봇(16L, 16R)의 어느 쪽인가가, 반도체 웨이퍼(Wi)를 2번째 프로세스 챔버(PC2)로부터 반출하여, 그 반출한 반도체 웨이퍼(Wi)를, 다음 공정이 있을 때는 3번째 프로세스 챔버(예를 들면 PC3)로 반입하고, 다음 공정이 없을 때는 로드락 챔버(LLCa, LLCb)의 어느 쪽인가로 반송한다. 3번째 이후의 프로세스 챔버(예를 들면 PC5)에서 처리가 행해진 경우도, 제1 또는 제2 반송 로봇(16L, 16R)의 어느 쪽인가가, 다음 공정이 있을 때는 후단의 프로세스 챔버(예를 들면 PC6)로 반입하고, 다음 공정이 없을 때는 로드락 챔버(LLCa, LLCb)의 어느 쪽인가로 되돌린다.
상기와 같이 하여 클러스터 툴 내의 복수의 프로세스 챔버(PC1, PC2‥)에서 일련의 처리를 받은 반도체 웨이퍼(Wi)가 로드락 챔버의 어느 쪽인가(예를 들면 LLCb)로 반입되면, 이 로드락 챔버(LLCb)의 실내는 감압 상태로부터 대기압 상태로 전환된다. 그런 후에, 로더 반송실(LM) 내의 대기 반송 로봇(20)이, 대기압 상태의 로드락 챔버(LLCb)로부터 반도체 웨이퍼(Wi)를 취출하여 해당 웨이퍼 카세트(CR)로 되돌린다. 또한, 로드락 챔버(LLCa, LLCb)에 있어서 체재 중인 반도체 웨이퍼(Wi)에 소망하는 분위기하에서 가열 또는 냉각 처리를 시행할 수도 있다.
상기와 같이, 이 클러스터 툴 방식의 진공 처리 장치는, 감압하의 플랫폼(PF)을 통하여 1개의 반도체 웨이퍼(Wi)를 복수의 프로세스 챔버로 순차 전송함으로써, 당해 반도체 웨이퍼(Wi)에 일련의 진공 처리를 인라인으로 연속적으로 시행하는 것이 가능하며, 특히 진공 박막 형성 가공에서는 복수의 프로세스 챔버에서 상이한 성막 가공을 연속적으로 행하여 소망하는 박막을 인라인으로 적층 형성할 수 있다.
다음으로, 도 4~도 10에 대해, 이 실시 형태에 있어서의 플랫폼(PF) 내의 제1 및 제2 반송 로봇(16L, 16R)의 기본적인 웨이퍼 반입/반출 동작을 설명한다. 일 예로서, 제1 반송 로봇(16L)이 제5 프로세스 챔버(PC5)로 반도체 웨이퍼(Wi)를 반입하는 동작과, 제2 반송 로봇(16R)이 제6 프로세스 챔버(PC6)로부터 다른 반도체 웨이퍼(Wj)를 반출하는 동작이 병행하여 동시에 행해지는 장면에 대해서 설명한다. 이 장면에서도, 또한 어떤 장면에서도, 반송 로봇(16L, 16R)의 적어도 한쪽이 가동할 때는, 주제어부(30)가 장치 내의 각부를 직접적으로, 또는 국소 컨트롤러(도시하지 않음)를 통하여 간접적으로 제어한다.
먼저, 도 4에 나타내는 바와 같이, 제1 반송 로봇(16L)은, 반송 아암(52L)으로 반도체 웨이퍼(Wi)를 지지하면서 좌측 가이드 레일(46L) 상을 슬라이드 이동하여, 프로세스 챔버(PC5)의 대략 정면에서 정지한다. 한편, 제2 반송 로봇(16R)은, 반송 아암(52R)을 공(空; 무부하)의 상태로 하여 우측 가이드 레일(46R) 상을 슬라이드 이동하여, 프로세스 챔버(PC6)의 대략 정면에서 정지한다.
또한, 도 4에 있어서, 제1 및 제2 반송 로봇(16L, 16R)은 각각 기본 자세를 취하고 있다. 즉, 반송 기대(50L, 50R)가 반송 본체(48L, 48R) 상의 원(原)위치(복동 위치)에 있고, 반송 아암(52L, 52R)이 반송 기대(50L, 50R) 상에서 안쪽 깊이 방향(Y방향)과 병행하고 그리고 선회 반경이 최소가 되는 원위치(복동 위치)에 있는 것과 같은 자세를 취하고 있다. 이 기본 자세에 있어서, 제1 반송 로봇(16L)은, 우측 반송 에어리어(TER)로 비어져 나오는 일 없이, 좌측 반송 에어리어(TEL) 내를 안쪽 깊이 방향(Y방향)에서 자유롭게 이동할 수 있다. 또한, 제2 반송 로봇(16R)은, 좌측 반송 에어리어(TEL)로 비어져 나오는 일 없이, 우측 반송 에어리어(TER) 내를 안쪽 깊이 방향(Y방향)에서 자유롭게 이동할 수 있다. 따라서, 양 반송 로봇(16L, 16R)은 서로 엇갈리는 것도 가능하고, 한쪽이 다른 한쪽을 앞지르는 것도 가능하도록 되어 있다.
상기와 같이 하여 프로세스 챔버(PC5)의 대략 정면에서 정지한 후, 제1 반송 로봇(16L)은, 상기의 기본 자세로부터, 도 5에 나타내는 바와 같이, 반송 기대(50L)를 반송 본체(48L) 상에서 우측 반송 에어리어(TER)로 비어져 나오도록 우측으로 소정의 스트로크만큼 슬라이드 이동시킨다. 한편, 제2 반송 로봇(16R)은, 프로세스 챔버(PC6)의 앞에서, 상기의 기본 자세로부터, 반송 본체(48R) 상에서 반송 기대(50R)를 좌측 반송 에어리어(TEL)로 비어져 나오도록 좌측으로 소정의 스트로크만큼 이동시킨다.
다음으로, 도 6에 나타내는 바와 같이, 제1 반송 로봇(16L)은, 반송 기대(50L) 상에서 반송 아암(52L)을 도면의 반시계 방향으로 소정 각도(약 90°)만큼 선회 운동시켜, 반도체 웨이퍼(Wi)를 지지하고 있는 아암 선단부(엔드 이펙터)를 웨이퍼 반입출구(M5)(도 3)를 향하게 한다. 제2 반송 로봇(16R)도, 반송 기대(50R) 상에서 반송 아암(52R)을 도면의 시계 방향으로 소정 각도(약 90°)만큼 선회 운동시켜, 공(무부하) 상태의 아암 선단부(엔드 이펙터)를 웨이퍼 반입출구(M6)(도 3)를 향하게 한다. 이 직후에, 게이트 밸브(GV5, GV6)가 각각 열린다.
다음으로, 도 7에 나타내는 바와 같이, 제1 반송 로봇(16L)은, 반송 아암(52L)을 전방으로 소정의 스트로크만큼 직진 이동(왕동)시켜, 제5 프로세스 챔버(PC5) 내에 반도체 웨이퍼(Wi)를 반입하여, 재치대(12)의 위에서 반도체 웨이퍼(Wi)를 건넨다. 여기에서, 프로세스 챔버(PC5)에 구비되어 있는 리프트핀(lift pin) 기구(도시하지 않음)가, 재치대(12)의 위에서 반도체 웨이퍼(Wi)를 핀 선단에 올려놓아 받고, 이어서 반도체 웨이퍼(Wi)를 내려 재치대(12) 상에 올려놓는다. 한편, 제2 반송 로봇(16R)은, 반송 아암(52R)을 전방으로 소정의 스트로크만큼 직진 이동(왕동)시켜, 반송 아암(52R)의 엔드 이펙터를 제6 프로세스 챔버(PC6) 안에 삽입하고, 재치대(12)의 위에서 리프트핀 기구(도시하지 않음)로부터 반도체 웨이퍼(Wj)를 받는다.
이어서, 도 8에 나타내는 바와 같이, 제1 반송 로봇(16L)은, 공(무부하) 상태가 된 반송 아암(52L)을 후방으로 직진 이동(복동)시켜 플랫폼(PF) 내로 되돌려, 프로세스 챔버(PC5)로 반도체 웨이퍼(Wi)를 반입하는 동작을 완료한다. 제2 반송 로봇(16R)은, 반도체 웨이퍼(Wj)를 지지하고 있는 반송 아암(52R)을 후방으로 직진 이동(복동)시켜 플랫폼(PF) 내로 되돌려, 프로세스 챔버(PC6)로부터 반도체 웨이퍼(Wj)를 반출하는 동작을 완료한다.
이후는, 반송 레시피에 따라서, 제1 및 제2 반송 로봇(16L, 16R)은 각각 다음 목적지로 향하며, 소요 웨이퍼 반입/반출 동작을 행한다. 예를 들면, 이후에, 제1 반송 로봇(16L)은 제3 프로세스 챔버(PC3)로부터 다른 반도체 웨이퍼(Wk)를 반출하는 동작이 프로그램되고, 제2 반송 로봇(16R)은 반도체 웨이퍼(Wj)를 좌측 로드락 챔버(LLCb)로 반입하는 동작이 프로그램되어 있는 것으로 한다.
이 경우는, 도 9에 나타내는 바와 같이, 제1 반송 로봇(16L)은, 반송 기대(50L)를 우측 반송 에어리어(TER)로 비어져 나오게 한 채, 반송 본체(48L)를 제3 프로세스 챔버(PC3)에 접근하도록 안쪽 깊이 방향(Y방향)으로 이동시키고, 그것과 동시에 반송 아암(52L)을 도면의 반시계 방향으로 소정 각도(약 120°)만큼 선회 운동시켜, 아암 선단부(엔드 이펙터)를 웨이퍼 반입출구(M3)(도 3)를 향하게 한다. 한편, 제2 반송 로봇(16R)은, 반송 아암(52R)을 도면의 시계 방향으로 소정 각도(약 90°)만큼 선회 운동시킨다.
다음으로, 도 10에 나타내는 바와 같이, 제1 반송 로봇(16R)은, 반송 아암(52L)을 전방으로 소정의 스트로크만큼 직진 이동(왕동)시켜, 반송 아암(52L)의 엔드 이펙터를 제3 프로세스 챔버(PC3) 안에 삽입한다. 한편, 제2 반송 로봇(16R)은, 반송 기대(50L)를 반송 본체(48R) 상의 원위치로 되돌리고, 반도체 웨이퍼(Wj)를 지지하고 있는 반송 아암(52R)의 엔드 이펙터를 우측 로드락 챔버(LLCb)의 정면 즉 웨이퍼 반입출구(Mb)(도 3)를 향하게 한다.
이후는, 도시 생략하지만, 제1 반송 로봇(16L)은, 반도체 웨이퍼(Wk)를 받은 반송 아암(52L)을 후방으로 소정의 스트로크만큼 직진 이동(복동)시켜, 제3 프로세스 챔버(PC3)로부터 반도체 웨이퍼(Wk)를 반출하는 동작을 완료시킨다. 한편, 제2 반송 로봇(16R)은, 반송 아암(52R)을 전방으로 소정의 스트로크만큼 직진 이동(왕동)시켜, 우측 로드락 챔버(LLCb) 내의 수도대(18)로 반도체 웨이퍼(Wj)를 건네고, 공(무부하) 상태가 된 반송 아암(52R)을 후방으로 직진 이동(복동)시켜, 우측 로드락 챔버(LLCb)로 반도체 웨이퍼(Wj)를 반입하는 동작을 완료시킨다.
그 후도, 제1 및 제2 반송 로봇(16L, 16R)은, 반송 레시피에 따라 서로 간섭하는 일 없이 각각에 예정된 웨이퍼 반송 동작을 병행하여 행한다.
이 실시 형태에 있어서, 제1 및 제2 반송 로봇(16L, 16R)이 플랫폼(PF) 내에서 동시 가동할 때의 상호 위치 관계에는, 도 11~도 14에 나타내는 바와 같이 4가지 패턴이 있다.
제1 패턴은, 도 11에 나타내는 바와 같이, 제1 반송 로봇(16L)이 리어(rear) 반송 에어리어(RE) 내에서 가동하고, 제2의 반송 로봇(16R)이 프론트(front) 반송 에어리어(FE) 내에서 가동하는 케이스이다. 또한, 플랫폼(PF) 내의 반송 공간을 안쪽 깊이 방향(Y방향)에서 대략 반반으로 구획한 경우에, 로드락 챔버(LLCa, LLCb)측으로부터 보아 앞쪽 절반의 반송 공간을 프런트 반송 에어리어(FE)로 하고, 안쪽 절반의 반송 공간을 리어 반송 에어리어(RE)로 하고 있다.
이 제1 패턴에 있어서, 제1 반송 로봇(16L)은, 그 각부(반송 본체(48L), 반송 기대(50L), 반송 아암(52L))를 동작시킴으로써, 제2, 제3, 제4 및 제5 프로세스 챔버(PC2, PC3, PC4, PC5)에 임의로 액세스하여 반도체 웨이퍼(W)의 반입/반출을 행할 수 있다. 한편, 제2 반송 로봇(16R)은, 그 각부(반송 본체(48R), 반송 기대(50R), 반송 아암(52R))를 동작시킴으로써, 제1, 제6 프로세스 챔버(PC1, PC6) 및 우측 로드락 챔버(LLCb)에 임의로 액세스하여 반도체 웨이퍼(W)의 반입/반출을 행할 수 있다.
제 2 패턴은, 도 12에 나타내는 바와 같이, 제1 패턴과는 반대로, 제1 반송 로봇(16L)이 프론트 반송 에어리어(FE) 내에서 가동하고, 제2 반송 로봇(16R)이 리어 반송 에어리어(RE) 내에서 가동하는 케이스이다. 이 경우, 제1 반송 로봇(16L)은, 제1, 제6 프로세스 챔버(PC1, PC6) 및 좌측 로드락 챔버(LLCa)에 임의로 액세스하여 반도체 웨이퍼(W)의 반입/반출을 행할 수 있다. 또한, 제2 반송 로봇(16R)은, 제2, 제3, 제4 및 제5 프로세스 챔버(PC2, PC3, PC4, PC5)에 임의로 액세스하여 반도체 웨이퍼(W)의 반입/반출을 행할 수 있다.
제3 패턴은, 도 13에 나타내는 바와 같이, 제1 및 제2 반송 로봇(16L, 16R)이 함께 프론트 반송 에어리어(FE) 내에서 가동하는 케이스이다. 이 경우, 제1 반송 로봇(16L)은 좌측 로드락 챔버(LLCa)에만 액세스하여 반도체 웨이퍼(W)의 반입/반출을 행하는 것이 가능하고, 제2 반송 로봇(16R)은 우측 로드락 챔버(LLCb)에만 액세스하여 반도체 웨이퍼(W)의 반입/반출을 행하는 것이 가능하다.
제4 패턴은, 도 14에 나타내는 바와 같이, 제1 및 제2 반송 로봇(16L, 16R)이 함께 리어 반송 에어리어(RE) 내에서 가동하는 케이스이다. 이 경우, 양 반송 로봇(16L, 16R)의 어느 쪽도 기본 자세에서 각각의 반송로(46L, 46R)(도 1~도 11) 상을 이동하는 것밖에 할 수 없다. 단, 상기한 바와 같이 서로 동일한 방향에서도, 반대 방향에서도 이동 가능하며, 서로 엇갈릴 수도 있다.
상기한 바와 같이, 이 클러스터 툴의 진공 처리 장치에 있어서는, 플랫폼(PF) 주위에 인접하여 복수(6개)의 프로세스 챔버(PC1~PC6) 및 복수(2개)의 로드락 챔버(LLCa, LLCb)가 배치됨과 함께, 플랫폼(PF) 내에 제1 및 제2 반송 로봇(16L, 16R)이 형성되어 있다.
제1 반송 로봇(16L)은, 플랫폼(PF)의 좌측 반송 에어리어(TEL)를 주된 동작 에어리어로 하면서도, 우측 반송 에어리어(TER)로 비어져 나오는 것도 가능하며, 우측 로드락 챔버(LLCb)를 제외한 모든 챔버(PC1~PC6, LLCa)에 웨이퍼 반입/반출을 위한 액세스를 행할 수 있다. 한편, 제2 반송 로봇(16R)은, 플랫폼(PF)의 우측 반송 에어리어(TER)를 주된 동작 에어리어로 하면서도, 좌측 반송 에어리어(TEL)로 비어져 나오는 것도 가능하며, 좌측 로드락 챔버(LLCa)를 제외한 모든 챔버(PC1~PC6, LLCb)에 웨이퍼 반입/반출을 위한 액세스를 행할 수 있다.
각각의 반송 로봇(16L, 16R)은, 1회의 액세스에서, 반도체 웨이퍼(W)의 반입 또는 반출 중 어느 하나만을 행하는 것으로, 소위 픽&플레이스 동작에 의해 어느 반도체 웨이퍼(Wi)를 반출하고 그와 교대로 다른 반도체 웨이퍼(Wj)를 반입하는 것과 같은 일은 할 수 없다. 그러나, 상기 4개의 병렬 가동 패턴(도 11~도 14)을 적절하게 조합하여, 양 반송 로봇(16L, 16R)을 연속 동작시킴으로써, 프로세스 챔버(PC1~PC6)의 어느 것에 대하여도 먼저 반송 로봇(16L, 16R) 한쪽이 저스트 인 타임으로 어떤 반도체 웨이퍼(Wi)를 반출하고, 후에 다른 한쪽(경우에 따라서는 재차 한쪽)이 저스트 인 타임으로 다른 반도체 웨이퍼(Wj)를 반입할 수 있다.
이 실시 형태에 있어서의 웨이퍼 반송 방식이 특히 유리하게 기능하는 것은, 프로세스 챔버(PC1~PC6) 중 어느 하나에서 처리가 끝난 반도체 웨이퍼(Wi)를 반출한 후에 바로 그와 교대로 미처리된 반도체 웨이퍼(Wj)를 반입할 수 없는 경우이다. 전형적으로는, 어떤 프로세스 챔버(예를 들면 PC4)에 있어서 본래의 진공 매엽 처리의 직후에 웨이퍼 없는 상태에서 행해지는 후처리(예를 들면 클리닝 처리)가 장시간을 요하는 경우이다. 이 경우, 이 실시 형태에서는, 프로세스 챔버(PC4)로부터 처리가 끝난 반도체 웨이퍼(Wi)를 반출한 반송 로봇 예를 들면 좌측 반송 로봇(16L)은, 그 후처리가 종료하기까지 프로세스 챔버(PC4)의 앞에서 기다리고 있을 필요는 없이, 바로 반도체 웨이퍼(Wi)를 다음 공정의 처리가 행해져야 하는 다른 프로세스 챔버(예를 들면 PC5)로 반입하거나, 혹은 다음 공정이 없을 때는 좌측 로드락 챔버(LLCa)로 전송할 수 있다. 그리고, 프로세스 챔버(PC4)에서 후처리가 종료한 직후에, 우측 반송 로봇(16R)(혹은 좌측 반송 로봇(16L))이 저스트 인 타임으로 프로세스 챔버(PC4)에 액세스하여 미처리된 반도체 웨이퍼(Wj)를 반입할 수 있다.
또한, 플랫폼(PF)의 안쪽 깊이 사이즈를 더욱 길게 하여 좌우 장변에 각각 3대 이상의 프로세스 챔버(PC)를 배치하는 클러스터 툴로 한 경우는, 플랫폼(PF)에 있어서의 진공 반송 장치의 동작 스페이스가 안쪽 깊이 방향에서 크게 확대되기 때문에, 2대의 반송 로봇(16L, 16R)을 상기와 같이 동작시키는 본 발명의 이점은 한층 더 현저해진다.
다음으로, 이 실시 형태의 반송 로봇(16L(16R))에 탑재되는 반송 아암(52L(52R))을 구동하기 위한 아암 구동 기구(64)에 대해서 설명한다.
도 15 및 도 16에 나타내는 바와 같이, 이 아암 구동 기구(64)는, 반송 기대(50L(50R))와 아암 본체(55L(55R))에 걸쳐 형성된다. 보다 상세하게는, 반송 기대(50L(50R))측에 선회 구동용 모터(68), 직진 구동용 모터(70) 및 제1 기어 기구(72)가 형성됨과 함께, 아암 본체(55L(55R))측에 제2 기어 기구(74) 및 볼 나사 기구(76)가 형성되고, 반송 기대(50L(50R))와 아암 본체(55L(55R))와의 사이에 연결봉(78)이 연직으로 형성된다.
반송 기대(50L(50R))에 있어서, 제1 기어 기구(72)는, 동축(coaxial) 원통 구조의 내부 수평 회전축(80) 및 외부 수평 회전축(82)을 갖고 있다. 도 16에 나타내는 바와 같이, 내부 수평 회전축(80)과 외부 수평 회전축(82)과의 사이 및 내부 수평 회전축(80)과 심축(心軸; 84)과의 사이에는 각각 축받침(86)이 형성되어 있다.
외부 수평 회전축(82)의 일단에 스퍼 기어(spur gear; 88)가 고착되고, 그 축방향 외측으로 내부 수평 회전축(80)의 일단에 스퍼 기어(90)가 고착되어 있다. 이들 스퍼 기어(88, 90)에는, 선회 구동용 모터(68) 및 직진 구동용 모터(70)에 접속되어 있는 스퍼 기어(92, 94)가 각각 나사맞춤되어 있다.
외부 수평 회전축(82)의 타단에는 베벨 기어(bevel gear; 96)가 고착되고, 그 축방향 외측으로 내부 수평 회전축(80)의 타단에는 베벨 기어(98)가 고착되어 있다. 이들 베벨 기어(96, 98)에는, 연결봉(78)의 하단에 부착된 베벨 기어(100, 102)가 각각 나사맞춤되어 있다.
연결봉(78)은, 동축 원통 구조의 내부 수직 회전축(104) 및 외부 수직 회전축(106)을 갖고 있다. 도 16에 나타내는 바와 같이, 내부 수직 회전축(104)과 외부 수직 회전축(106)과의 사이, 내부 수직 회전축(104)과 심축(108)과의 사이, 외부 수직 회전축(106)과 반송 기대(50L(50R))의 천정판과의 사이에는 각각 축받침(110)이 형성되어 있다. 외부 수직 회전축(106)의 일단(하단)에는 상기 베벨 기어(100)가 고착되고, 그 축방향 외측으로 내부 수직 회전축(104)의 일단(하단)에는 상기 베벨 기어(102)가 고착되어 있다.
외부 수직 회전축(106)의 타단(상단)은 아암 본체(55L(55R))의 저판(底板)에 고착되어 있고, 그 축방향 외측(상방) 즉 아암 본체(55L(55R)) 안에서 내부 수직 회전축(104)의 타단(상단)에는 베벨 기어(112)가 고착되어 있다. 베벨 기어(112)에는, 제2 기어 기구(74)의 베벨 기어(114)가 나사맞춤되어 있다.
아암 본체(55L(55R)) 내에서, 제2 기어 기구(74)는, 원통형의 수평 회전축(116)을 갖고 있다. 도 16에 나타내는 바와 같이, 수평 회전축(116)과 심축(118)과의 사이에는 축받침(120)이 형성되어 있다.
수평 회전축(116)의 일단에는 상기 베벨 기어(114)가 고착되고, 타단에는 스퍼 기어(122)가 고착되어 있다. 이 스퍼 기어(122)에는, 볼나사 기구(74)의 이송 나사(124)의 일단에 고착된 스퍼 기어(126)가 나사맞춤되어 있다.
반송 아암(52L(52R))은 1개의 판체로 이루어지며, 그 기단부(基端部)에 이송 나사(124)와 나사 결합하는 볼나사(128)가 부착되어 있다. 또한, 이송 나사(124)와 평행하게 연장되는 가이드 레일(130)이 형성되어 있고, 이 가이드 레일(130) 상에서 접동하는 가이드부(132)도 반송 아암(52L(52R))의 기단부에 부착되어 있다.
이러한 구성의 아암 구동 기구(64)에 있어서는, 선회 구동용 모터(68)를 작동시키면, 그 회전 구동력이 스퍼 기어(92)→스퍼 기어(88)→외부 수평 회전축(82)→베벨 기어(96)→베벨 기어(100)를 통하여 외부 수직 회전축(106)으로 전달되어, 외부 수직 회전축(106)이 회전함으로써 이것과 일체로 아암 본체(55L(55R))가 선회한다. 모터(68)의 회전 방향 및 회전량을 제어함으로써, 아암 본체(55L(55R))의 선회 방향(시계 방향/반시계 방향) 및 선회 각도를 제어할 수 있다.
또한, 직진 구동용 모터(70)를 작동시키면, 그 회전 구동력이 스퍼 기어(94)→스퍼 기어(90)→내부 수평 회전축(80)→베벨 기어(98)→베벨 기어(102)→내부 수직 회전축(104)→베벨 기어(112)→베벨 기어(114)→수평 회전축(116)→스퍼 기어(122)→스퍼 기어(126)를 통하여 볼나사 기구(76)의 이송 나사(124)로 전달되어, 이송 나사(124)가 회전함으로써 반송 아암(52L(52R))이 아암 길이 방향 즉 선회원의 반경 방향에서 슬라이드 이동한다. 모터(70)의 회전 방향 및 회전량을 제어함으로써, 반송 아암(52L(52R))의 이동 방향(전진/후진) 및 스트로크를 제어할 수 있다.
이 실시 형태에서는, 반송 로봇(16L, 16R)의 각부 즉 반송 본체(48L(48R)), 반송 기대(50L(50R)), 반송 아암(52L(52R))이 모두 수평 방향에서 슬라이드 동작 또는 선회 운동을 행하는 구성이며, 종(연직)방향의 굴신·신축 동작이나 선회 운동은 일절 행하지 않기 때문에, 종(연직)방향에서 큰 동작 스페이스를 필요로 하지 않는다. 이에 따라, 플랫폼(PF)의 종방향 사이즈를 작게 할 수 있어, 상기와 같이 옆의 프로세스 모듈(PM)에 구비되는 APC 밸브(34)를 플랫폼 바로 아래의 스페이스(38)(도 2)로 튀어나오게 하는 레이아웃이 가능하게 되어 있다. 또한, 플랫폼(PF) 내에 있어서, 반도체 웨이퍼(W)의 위를 반송 기구의 부재가 통과하는 일은 없기 때문에, 반도체 웨이퍼(W)에 파티클이 부착될 우려가 해소된다. 또한, 플랫폼(PF) 내에 있어서, 반도체 웨이퍼(W)에 종(연직)방향의 가속도(특히 상향의 가속도)를 부여하는 일이 없기 때문에, 반송 아암(52L(52R)) 상에서 반도체 웨이퍼(W)를 안정되게 유지할 수 있다.
또한, 이 실시 형태와 같이 반송 로봇(16L, 16R)의 각부를 수평 슬라이드/수평 선회형의 기구로 통일하는 구성에 있어서는, 각부의 구동원을 플랫폼(PF)의 밖에 배치하는 것이 용이하며, 그에 따라 전기 케이블류를 수용하는 관절 덕트 또는 플렉시블관을 플랫폼(PF) 내에 둘러칠 필요가 없어져, 반송 로봇(16L, 16R)의 이동 범위나 슬라이드 스트로크를 크게 취할 수 있다는 이점도 있다.
예를 들면, 도 17 및 도 18에 나타내는 바와 같이, 반송 본체(48L(48R)) 상에서 반송 기대(50L(50R))를 오프셋 방향(X방향)에서 슬라이드 이동시키기 위한 기구에 있어서, 반송 기대(50L(50R))측의 볼나사 기구(62L(62R))와 구동원인 전기 모터(140)와의 사이에, 스플라인축(142), 스퍼 기어(144), 스퍼 기어(146), 수평 회전축(148), 베벨 기어(150), 베벨 기어(152)로 이루어지는 전동 기구(154)를 개재시킴으로써, 전기 모터(140)를 플랫폼(PF)의 밖에 배치할 수 있다.
도 17에 있어서, 스퍼 기어(146), 수평 회전축(148) 및 베벨 기어(150)는 일체적인 기어 기구(156)이며, 반송 본체(48L(48R))에 연결되어 있다. 도 18에 나타내는 바와 같이, 스플라인축(142)의 외주에는 축방향으로 연장되는 홈(158)이 형성되어 있고, 스퍼 기어(144)는 이 홈(158)을 따라서 기어 기구(156)와 함께 축 방향에서 이동할 수 있도록 되어 있다.
이상 본 발명의 매우 적합한 실시 형태에 대해서 설명했지만, 본 발명은 상기한 실시 형태에 한정되는 것은 아니며, 그 기술적 사상의 범위 내에서 여러 가지 변형·변경이 가능하다.
예를 들면, 반송 로봇(16L, 16R)에 있어서의 각부의 슬라이드 이동은 반드시 직선일 필요는 없고, 필요에 따라서 구부리는 것도 가능하다.
또한, 상기한 실시 형태에서는, 오각형의 플랫폼(PF)의 밑변에 2개의 로드락 챔버(LLCa, LLCb)를 좌우에 배치하여, 제1 반송 로봇(16L)은 좌측의 로드락 챔버(LLCa)에만 액세스할 수 있고, 제2 반송 로봇(16R)은 우측의 로드락 챔버(LLCb)에만 액세스할 수 있도록 구성했다. 그러나, 예를 들면 플랫폼(PF)의 밑변을 이등변 삼각형의 2개의 빗변으로 변형하여(플랫폼(PF)을 육각형으로 함) 그들 2개의 빗변에 로드락 챔버(LLCa, LLCb)를 접속하여, 양 반송 로봇(16L, 16R) 모두가 양 로드락 챔버(LLCa, LLCb) 어느 것에도 액세스할 수 있도록 하는 것도 가능하다.
또한, 도시 생략하지만, 플랫폼(PF) 내의 스페이스에 여유가 있으면, 반송 로봇(16L, 16R)의 쌍방 또는 한쪽에 반송 아암(52L(52R))을 복수개 구비하는 구성도 가능하다. 그 경우는, 예를 들면 프로세스 챔버에 대한 1회의 액세스로, 한쪽 반송 아암을 이용하여 처리가 끝난 반도체 웨이퍼를 반출(픽)하고 그와 교대로 다른 한쪽 반송 아암을 이용하여 미처리된 반도체 웨이퍼를 반입(플레이스)하는, 소위 픽&플레이스 동작도 가능하다.
본 발명에 있어서의 피처리체는, 반도체 웨이퍼에 한정하지 않으며, 예를 들면 FPD 기판이라도 좋고, 클러스터 툴의 진공 처리 장치에서 임의의 처리를 받는 임의의 피처리체라도 좋다.
PF : 플랫폼(진공 반송실)
PC1~PC6 : 프로세스 챔버
LLCa, LLCb : 로드락 챔버
GV1~GV6, GVa, GVb : 게이트 밸브
16a : 제1 진공 반송 로봇
16b : 제2 진공 반송 로봇
46L : 좌측 가이드 레일
46R : 우측 가이드 레일
48L(48R) : 반송 본체
50L(50R) : 반송 기대
52L(52R) : 반송 아암
55L(55R) : 아암 본체
64 : 아암 반송 기구

Claims (15)

  1. 실내가 감압 상태로 유지되는 진공 반송실과,
    상기 진공 반송실 주위에 인접하여 형성되고, 감압하의 실내에서 피(被)처리체에 소정의 처리가 행해지는 1개 또는 복수의 진공 처리실과,
    상기 진공 반송실 주위에 인접하여 형성되고, 실내가 선택적으로 대기 상태 또는 감압 상태로 전환되며, 대기 공간과 상기 진공 반송실과의 사이에서 전송되는 피처리체를 일시적으로 유치(留置)하는 1개 또는 복수의 로드락실과,
    상기 로드락실과 어느 하나의 상기 진공 처리실과의 사이에서, 또는 상이한 상기 진공 처리실의 사이에서, 피처리체를 반송하기 위해 상기 진공 반송실 내에 형성되는 제1 및 제2 진공 반송 로봇
    을 갖고,
    상기 제1 및 제2 진공 반송 로봇이, 상기 로드락실측으로부터 보아 상기 진공 반송실의 좌측 반송 에어리어 및 우측 반송 에어리어에서 각각 안쪽 깊이 방향으로 연장되는 제1 및 제2 반송로상에서 각각 상기 진공 반송실 내를 이동할 수 있도록 구성되고,
    상기 제1 진공 반송 로봇은, 상기 좌측 반송 에어리어에 인접하는 모든 상기 진공 처리실에 대하여, 그리고 상기 우측 반송 에어리어에 인접하는 적어도 1개의 상기 진공 처리실에 대하여, 그리고 적어도 1개의 상기 로드락실에 대하여, 피처리체의 반입 또는 반출을 위한 액세스가 가능하고,
    상기 제2 진공 반송 로봇은, 상기 우측 반송 에어리어에 인접하는 모든 상기 진공 처리실에 대하여, 그리고 상기 좌측 반송 에어리어에 인접하는 적어도 1개의 상기 진공 처리실에 대하여, 그리고 적어도 1개의 상기 로드락실에 대하여, 피처리체의 반입 또는 반출을 위한 액세스가 가능하며,
    상기 제1 및 제2 진공 반송 로봇이,
    상기 제1 및 제2 반송로상에서 각각 상기 진공 반송실 내를 이동할 수 있도록 구성된 제1 및 제2 반송 본체와,
    상기 안쪽 깊이 방향과 교차하는 수평인 오프셋 방향에서 이동할 수 있도록 상기 제1 및 제2 반송 본체에 각각 탑재된 제1 및 제2 반송 기대(pedestal)와,
    수평면 내에서 선회 운동할 수 있음과 함께, 선회 원의 반경과 평행인 방향에서 직진 이동할 수 있도록 상기 제1 및 제2 반송 기대에 각각 탑재되고, 그리고 피처리체를 지지할 수 있도록 구성된 제1 및 제2 반송 아암 기구
    를 각각 갖고,
    상기 제1 반송 아암 기구가 적어도 1개의 상기 진공 처리실과의 사이에서 피처리체를 반입 또는 반출할 때, 상기 제1 반송 기대는, 상기 좌측 반송 에어리어 내에 수용되는 제1 복동(復動) 위치로부터, 상기 좌측 반송 에어리어로부터 상기 우측 반송 에어리어로 비어져 나오는 제1 왕동(往動) 위치로 이동하며,
    상기 제2 반송 아암 기구가 적어도 1개의 상기 진공 처리실과의 사이에서 피처리체를 반입 또는 반출할 때, 상기 제2 반송 기대는, 상기 우측 반송 에어리어 내에 수용되는 제2 복동 위치로부터, 상기 우측 반송 에어리어로부터 상기 좌측 반송 에어리어로 비어져 나오는 제2 왕동 위치로 이동하는 진공 처리 장치.
  2. 제1항에 있어서,
    상기 제1 진공 반송 로봇은, 상기 좌측 반송 에어리어 내에 모두 수용되어 이동할 수 있는 기본 자세와, 상기 좌측 반송 에어리어로부터 상기 우측 반송 에어리어로 비어져 나오는 자세를 임의로 전환할 수 있도록 구성되고,
    상기 제2 진공 반송 로봇은, 상기 우측 반송 에어리어 내에 모두 수용되어 이동할 수 있는 기본 자세와, 상기 우측 반송 에어리어에서 상기 좌측 반송 에어리어로 비어져 나오는 자세를 임의로 전환할 수 있도록 구성되는 진공 처리 장치.
  3. 제1항에 있어서,
    상기 제1 및 제2 반송 아암 기구는,
    상기 제1 및 제2 반송 기대에 각각 탑재되고, 수평면 내에서 선회 운동 가능한 제1 및 제2 반송 아암 본체와,
    상기 제1 및 제2 반송 아암 본체에 탑재되고, 상기 제1 및 제2 반송 아암 본체 상에서 수평 방향으로 직진 이동 가능한 제1 및 제2 반송 아암과,
    상기 제1 및 제2 반송 아암에 설치되며, 상기 피처리체를 지지 가능하도록 구성된 엔드 이펙터
    를 각각 갖는 진공 처리 장치.
  4. 제1항에 있어서,
    상기 제1 및 제2 반송 본체는, 상기 제1 및 제2 반송로상에서 각각 슬라이드 이동하는 진공 처리 장치.
  5. 제1항에 있어서,
    상기 제1 및 제2 반송 본체는, 상기 제1 및 제2 반송로상에서 서로 엇갈리면서 이동할 수 있는 진공 처리 장치.
  6. 삭제
  7. 제1항에 있어서,
    상기 제1 및 제2 반송 기대는, 상기 오프셋 방향에서 슬라이드 이동 가능하게 상기 제1 및 제2 반송 본체에 각각 탑재되는 진공 처리 장치.
  8. 제3항에 있어서,
    상기 제1 및 제2 반송 아암에 설치된 엔드 이펙터는, 각각 1개의 피처리체를 지지할 수 있는 진공 처리 장치.
  9. 제3항에 있어서,
    상기 제1 및 제2 반송 아암에 설치된 엔드 이펙터는, 각각 복수의 피처리체를 지지할 수 있는 진공 처리 장치.
  10. 제1항에 있어서,
    상기 반송 본체의 저면은, 상기 진공 처리실의 저면보다도 높은 위치에 형성되는 진공 처리 장치.
  11. 제1항에 있어서,
    상기 제1 및 제2 진공 반송 로봇에 이용되는 모든 구동원이 상기 진공 반송실 밖에 형성되는 진공 처리 장치.
  12. 제1항에 있어서,
    적어도 1개의 상기 진공 처리실에 있어서, 처리가 끝난 피처리체가 반출된 직후에, 실내에 피처리체가 없는 상태에서 소정의 후처리가 행해지는 진공 처리 장치.
  13. 실내가 감압 상태로 유지되는 진공 반송실 주위에, 상기 진공 반송실에 인접하여 형성되고, 감압하의 실내에서 피처리체에 소정의 처리가 행해지는 1개 또는 복수의 진공 처리실과, 상기 반송실에 인접하여 형성되고, 실내가 선택적으로 대기 상태 또는 감압 상태로 전환되며, 대기 공간과 상기 진공 반송실과의 사이에서 전송되는 피처리체를 일시적으로 유치하는 1개 또는 복수의 로드락실을 배치하는 진공 처리 장치에 있어서, 상기 진공 반송실과 상기 진공 처리실 또는 상기 로드락실과의 사이에서 피처리체의 인수 인도를 행하기 위해 상기 진공 반송실 내에 형성되는 진공 반송 장치로서,
    상기 로드락실측으로부터 보아 상기 진공 반송실의 좌측 반송 에어리어 및 우측 반송 에어리어에서 각각 안쪽 깊이 방향으로 연장되는 제1 및 제2 반송로상에서 각각 상기 진공 반송실 내를 이동할 수 있도록 구성된 제1 및 제2 진공 반송 로봇을 구비하고,
    상기 제1 진공 반송 로봇은, 상기 좌측 반송 에어리어에 인접하는 모든 상기 진공 처리실에 대하여, 그리고 상기 우측 반송 에어리어에 인접하는 적어도 1개의 상기 진공 처리실에 대하여, 그리고 적어도 1개의 상기 로드락실에 대하여, 피처리체의 반입 또는 반출을 위한 액세스가 가능하고,
    상기 제2 진공 반송 로봇은, 상기 우측 반송 에어리어에 인접하는 모든 상기 진공 처리실에 대하여, 그리고 상기 좌측 반송 에어리어에 인접하는 적어도 1개의 상기 진공 처리실에 대하여, 그리고 적어도 1개의 상기 로드락실에 대하여, 피처리체의 반입 또는 반출을 위한 액세스가 가능하며,
    상기 제1 및 제2 진공 반송 로봇이,
    상기 제1 및 제2 반송로상에서 각각 상기 진공 반송실 내를 이동할 수 있도록 구성된 제1 및 제2 반송 본체와,
    상기 안쪽 깊이 방향과 교차하는 수평인 오프셋 방향에서 이동할 수 있도록 상기 제1 및 제2 반송 본체에 각각 탑재된 제1 및 제2 반송 기대(pedestal)와,
    수평면 내에서 선회 운동할 수 있음과 함께, 선회 원의 반경과 평행인 방향에서 직진 이동할 수 있도록 상기 제1 및 제2 반송 기대에 각각 탑재되고, 그리고 피처리체를 지지할 수 있도록 구성된 제1 및 제2 반송 아암 기구
    를 각각 갖고,
    상기 제1 반송 아암 기구가 적어도 1개의 상기 진공 처리실과의 사이에서 피처리체를 반입 또는 반출할 때, 상기 제1 반송 기대는, 상기 좌측 반송 에어리어 내에 수용되는 제1 복동(復動) 위치로부터, 상기 좌측 반송 에어리어로부터 상기 우측 반송 에어리어로 비어져 나오는 제1 왕동(往動) 위치로 이동하며,
    상기 제2 반송 아암 기구가 적어도 1개의 상기 진공 처리실과의 사이에서 피처리체를 반입 또는 반출할 때, 상기 제2 반송 기대는, 상기 우측 반송 에어리어 내에 수용되는 제2 복동 위치로부터, 상기 우측 반송 에어리어로부터 상기 좌측 반송 에어리어로 비어져 나오는 제2 왕동 위치로 이동하는 진공 반송 장치.
  14. 제13항에 있어서,
    상기 제1 진공 반송 로봇은, 상기 좌측 반송 에어리어 내에 모두 수용되어 이동할 수 있는 기본 자세와, 상기 좌측 반송 에어리어로부터 상기 우측 반송 에어리어로 비어져 나오는 자세를 임의로 전환할 수 있도록 구성되고,
    상기 제2 진공 반송 로봇은, 상기 우측 반송 에어리어 내에 모두 수용되어 이동할 수 있는 기본 자세와, 상기 우측 반송 에어리어로부터 상기 좌측 반송 에어리어로 비어져 나오는 자세를 임의로 전환할 수 있도록 구성되는 진공 반송 장치.
  15. 제13항에 있어서,
    상기 제1 및 제2 반송 아암 기구는,
    상기 제1 및 제2 반송 기대에 각각 탑재되고, 수평면 내에서 선회 운동 가능한 제1 및 제2 반송 아암 본체와,
    상기 제1 및 제2 반송 아암 본체에 탑재되고, 상기 제1 및 제2 반송 아암 본체 상에서 수평 방향으로 직진 이동 가능한 제1 및 제2 반송 아암과,
    상기 제1 및 제2 반송 아암에 설치되며, 상기 피처리체를 지지 가능하도록 구성된 엔드 이펙터
    를 각각 갖는 진공 반송 장치.
KR1020107013829A 2008-12-18 2009-12-16 진공 처리 장치 및 진공 반송 장치 KR101192288B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008321942A JP5139253B2 (ja) 2008-12-18 2008-12-18 真空処理装置及び真空搬送装置
JPJP-P-2008-321942 2008-12-18
PCT/JP2009/006919 WO2010070896A1 (ja) 2008-12-18 2009-12-16 真空処理装置及び真空搬送装置

Publications (2)

Publication Number Publication Date
KR20100089107A KR20100089107A (ko) 2010-08-11
KR101192288B1 true KR101192288B1 (ko) 2012-10-17

Family

ID=42268575

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107013829A KR101192288B1 (ko) 2008-12-18 2009-12-16 진공 처리 장치 및 진공 반송 장치

Country Status (5)

Country Link
US (1) US8380337B2 (ko)
JP (1) JP5139253B2 (ko)
KR (1) KR101192288B1 (ko)
CN (1) CN102246286A (ko)
WO (1) WO2010070896A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102620088B1 (ko) * 2023-05-12 2024-01-02 에이피티씨 주식회사 기판 이송 장치

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5168300B2 (ja) * 2010-02-24 2013-03-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法
IT1403040B1 (it) 2010-12-10 2013-09-27 Steelco Spa Impianto di lavaggio perfezionato
US9443749B2 (en) * 2011-01-20 2016-09-13 Tokyo Electron Limited Vacuum processing apparatus
JP5964548B2 (ja) * 2011-02-24 2016-08-03 株式会社ディスコ ウエーハ加工装置
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
CN103594403B (zh) * 2012-08-15 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 片盒传输装置及具有其的半导体设备
WO2014037057A1 (en) * 2012-09-10 2014-03-13 Applied Materials, Inc. Substrate processing system and method of processing substrates
JP2014093489A (ja) * 2012-11-06 2014-05-19 Tokyo Electron Ltd 基板処理装置
WO2014143846A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc Multi-position batch load lock apparatus and systems and methods including same
CN103624766A (zh) * 2013-04-27 2014-03-12 张家港诺信自动化设备有限公司 一种机器视觉并联分拣机器人
JP6213079B2 (ja) * 2013-09-09 2017-10-18 シンフォニアテクノロジー株式会社 Efem
KR101527901B1 (ko) * 2013-10-10 2015-06-10 피에스케이 주식회사 기판 처리 장치 및 기판 반송 방법
JP6246569B2 (ja) * 2013-11-20 2017-12-13 シロキ工業株式会社 ワーク搬送装置及びワーク搬送方法
KR102330725B1 (ko) * 2014-01-21 2021-11-23 어플라이드 머티어리얼스, 인코포레이티드 저압 툴 교체를 허용하는 얇은 필름 캡슐화 프로세싱 시스템 및 프로세스 키트
KR102173658B1 (ko) * 2016-11-30 2020-11-03 주식회사 원익아이피에스 기판처리시스템
JP6887332B2 (ja) 2017-07-19 2021-06-16 東京エレクトロン株式会社 検査システム
CN111742400A (zh) * 2018-02-15 2020-10-02 朗姆研究公司 移动衬底传送室
JP7014055B2 (ja) * 2018-06-15 2022-02-01 東京エレクトロン株式会社 真空処理装置、真空処理システム、及び真空処理方法
JP7154986B2 (ja) * 2018-12-11 2022-10-18 平田機工株式会社 基板搬送装置及び基板搬送システム
KR102132993B1 (ko) * 2020-04-27 2020-07-10 (주)볼타오토메이션 열과 압력에 의한 챔버의 변형방지장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001075965A1 (fr) * 2000-04-05 2001-10-11 Tokyo Electron Limited Dispositif de traitement
JP2006073835A (ja) * 2004-09-02 2006-03-16 Dainippon Screen Mfg Co Ltd 基板搬送装置およびそれを用いた基板処理装置
JP2007012720A (ja) * 2005-06-28 2007-01-18 Tokyo Electron Ltd 基板処理装置,搬送装置,搬送装置の制御方法
JP2008030151A (ja) * 2006-07-28 2008-02-14 Daihen Corp 直線移動機構およびこれを用いた搬送ロボット

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
JPH0846013A (ja) 1994-05-23 1996-02-16 Tokyo Electron Ltd マルチチャンバ処理システム用搬送装置
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6487799B2 (en) * 2000-05-24 2002-12-03 Darrell Burk Removable hitch attachment for earth-moving equipment
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
AU2003277790A1 (en) * 2002-11-15 2004-06-15 Unaxis Balzers Ag Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
JP4283559B2 (ja) 2003-02-24 2009-06-24 東京エレクトロン株式会社 搬送装置及び真空処理装置並びに常圧搬送装置
JP4353903B2 (ja) 2005-01-07 2009-10-28 東京エレクトロン株式会社 クラスタツールの処理システム
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US8246284B2 (en) * 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001075965A1 (fr) * 2000-04-05 2001-10-11 Tokyo Electron Limited Dispositif de traitement
JP2006073835A (ja) * 2004-09-02 2006-03-16 Dainippon Screen Mfg Co Ltd 基板搬送装置およびそれを用いた基板処理装置
JP2007012720A (ja) * 2005-06-28 2007-01-18 Tokyo Electron Ltd 基板処理装置,搬送装置,搬送装置の制御方法
JP2008030151A (ja) * 2006-07-28 2008-02-14 Daihen Corp 直線移動機構およびこれを用いた搬送ロボット

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102620088B1 (ko) * 2023-05-12 2024-01-02 에이피티씨 주식회사 기판 이송 장치

Also Published As

Publication number Publication date
JP5139253B2 (ja) 2013-02-06
US20110238201A1 (en) 2011-09-29
JP2010147207A (ja) 2010-07-01
WO2010070896A1 (ja) 2010-06-24
US8380337B2 (en) 2013-02-19
KR20100089107A (ko) 2010-08-11
CN102246286A (zh) 2011-11-16

Similar Documents

Publication Publication Date Title
KR101192288B1 (ko) 진공 처리 장치 및 진공 반송 장치
JP6480488B2 (ja) 基板搬送方法
US11613002B2 (en) Dual arm robot
JP6594304B2 (ja) 処理装置
JP6006643B2 (ja) 真空処理装置
JP5706085B2 (ja) 直線状に分布された半導体部品処理ツール
US10086511B2 (en) Semiconductor manufacturing systems
KR101429827B1 (ko) 반송 시스템
TWI408766B (zh) Vacuum processing device
WO2007139896A2 (en) Substrate processing apparatus
JP2009538541A5 (ko)
JP2000306978A (ja) 基板処理装置、基板搬送装置、および基板処理方法
US11538705B2 (en) Plasma processing system and operating method of the same
KR102583167B1 (ko) 반송 장치, 반송 방법 및 반송 시스템
US20240071802A1 (en) Operations of robot apparatuses within rectangular mainframes
TWI474418B (zh) 基板運送裝置、基板運送系統及運送基板之方法
KR20210119185A (ko) 이송로봇 및 이를 포함하는 기판처리시스템

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150917

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160921

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170920

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20181004

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20191001

Year of fee payment: 8