CN102246286A - 真空处理装置、真空运送装置 - Google Patents

真空处理装置、真空运送装置 Download PDF

Info

Publication number
CN102246286A
CN102246286A CN2009801498492A CN200980149849A CN102246286A CN 102246286 A CN102246286 A CN 102246286A CN 2009801498492 A CN2009801498492 A CN 2009801498492A CN 200980149849 A CN200980149849 A CN 200980149849A CN 102246286 A CN102246286 A CN 102246286A
Authority
CN
China
Prior art keywords
vacuum
transport
robot
transports
transport zone
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2009801498492A
Other languages
English (en)
Inventor
广木勤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102246286A publication Critical patent/CN102246286A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J5/00Manipulators mounted on wheels or on carriages
    • B25J5/02Manipulators mounted on wheels or on carriages travelling along a guideway
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Abstract

在集束型构架中在不将平台的纵向空间相下方延伸的状态下使运送能力提高。在平台(PF)内,第一运送机器人(16L)包括:运送主体(48L),能够在左侧导轨(46L)上滑动移动;运送底座(50L),能够在偏移方向(X方向)上滑动移动;以及滑动型运送臂(52L),能够在水平面内旋转移动,并且能够在与旋转圆的半径平行的方向上直线移动,并能够支持一张半导体晶片(W)。第二运送机器人(16R)除各个部的运动或移动的方向与第一运送机器人为(16L)左右对称以外,具有与其相同的结构以及功能。

Description

真空处理装置、真空运送装置
技术领域
本发明涉及集束型构架(cluster tool)方式的真空处理装置以及真空运送装置。
背景技术
作为具有真空运送室的真空处理装置的一种方式,公知集束型构架方式。集束型构架方式是为了实现加工的稳定化、连续化或复合化,而将在负压下执行预定的处理的多个加工腔室配置在真空的平台的周围的方式,也被称为多腔室方式,一般在半导体制造装置中采用(例如参考专利文献1)。
一般来说,在集束型构架中,一个被处理物会被依次运送以使其移经多个加工腔室,从而使得该被处理物连续地接受相同种类或不同种类的真空处理。在半导体设备制造中,CVD(化学气相沉积)、溅射、干刻、干洗等是在集束型构架内被执行的代表性的真空处理。
在上述的多个加工腔室中跨越的被处理物的移动(运送)通过平台而被执行,因此平台的室内被保持在常压、负压状态。为了将未处理的被处理物从大气空间运入至上述的平台,并且将结束了一系列的真空处理的被处理物从平台运出至大气空间,在平台上还经由门阀而连接大气/真空连接装置的装载固定腔室。在平台的室内设置真空运送装置,所述真空运送装置用于在负压下在各加工腔室或装载固定腔室之间交接衬底。这种真空运送装置具有用于向各加工腔室或装载固定腔室运入被处理物以及从各加工腔室或装载固定腔室运出被处理物的可伸缩运送臂,从而根据加工目的地来使运送臂旋转。
在集束型构架方式的真空处理装置中,一种趋势是采用如下方法:减小乃至维持在从进行被处理物盒的投入、取出的加载口这侧观察时的装置整体的宽度尺寸,并将平台向纵深方向延长,由此沿着该长边增设加工腔室并增加装置整体的腔室搭载数量的布局有利地对应于半导体晶片的大型化(例如参考专利文献2)。
如果如上述那样加工腔室的搭载数量增多,则真空运送装置的负担变大,真空运送装置侧的运送能力将会不及处理装置侧的整体处理能力。
关于这点,在平台内设置一台真空运送机器人的以往的集束型构架中采用了如下方法:关于与平台连结的多个加工模块,将在各自的腔室内一个被处理物滞留的滞留时间与在其滞留前后由于该被处理物而模块的功能拥堵的附带忙碌(busy)时间相加而得的模块循环时间实质上设定为相同的长度,通过与各被处理物被处理一圈的顺序相同的顺序,具有两个运送臂的真空运送机器人在所述多个加工模块中巡回移动,并通过对于各个加工模块的存取将处理完的被处理物用一个运送臂运出(拾取)并用另一个运送臂运入(放入)后续的其他被处理物以替代所述处理完的被处理物(例如参考专利文献3)。
然而,上述的巡回移动式拾放方法虽然在各加工模块的处理时间比运送时间足够长时可轻松地执行运送机器人的运送动作而有效发挥作用,但在处理时间短时,运送机器人的应对会变得困难而运送效率或生产率下降。另一方面,如果紧接在运出(拾取)处理完的被处理物之后由对应加工腔室执行的后处理(例如净化、清洁等)消耗时间长,则运送机器人为了对手持的未处理的被处理物进行放置(place)动作而待在该加工模块前直至其后处理结束,由于该长的等待时间,系统整体的生产率大幅下降。
另外,如上所述,加工模块(加工腔室)的搭载数量具有增加的倾向,将平台内的衬底运送动作用一台真空运送机器人来全部处理将很快达到极限。
发明人为了打破在集束型构架中使用一台真空运送机器人的平台的运送能力的极限,通过专利文献4提出一种真空处理装置,其中,在平台内通过两个移动台驱动机构使位于狭窄的通用运送空间内的两个移动台乃至臂机构在上下方向和水平方向上移动使得所述移动台乃至臂机构在水平状态下互不干涉地在上下方向上交换彼此的位置。
专利文献1:日本专利文献特开平8-46013号公报;
专利文献2:日本专利文献特开2007-12720号公报
专利文献3:日本专利文献特开2006-190894号公报;
专利文献4:日本专利文献特开2004-265947号公报。
发明内容
上述专利文献4所公开的以往的真空处理装置是在平台内实质上使两台运送机器人同时运转的方式,虽然由此提高了运送效率和生产率,但其尚存在若干未改善的问题。
第一,各移动台驱动机构由于采用下方具有基部并能够在垂直(铅直)面上伸缩旋转的纵向多关节机器人的形式,因此在铅直方向上需要大的空间。并且,在该移动台驱动机构的上方使两组移动台乃至臂机构以可交换位置的方式在上下方向上移动。这里,臂机构的上方顶部的位置设定为对应于加工腔室的被处理物运入运出口的高度。因此,移动台驱动机构(特别是底座部)在比加工腔室低的位置空间内运转。
但是,最近的加工模块在真空排气系统中常使用大体积的APC(Automatic Power Control,自动压力控制)阀,该APC阀需要在加工腔室下向平台侧突出的占有空间。由此,由于加工模块的原因,平台比加工腔室低的下方空间必须空出,从而不能用于运送机构。即,难以采用设置在平台上采取如上述的纵向多关节机器人的形式的移动台驱动机构的结构。
第二,当在平台内相互交换或置换两个移动台的高度位置时,由于其他的移动台通过支承在各移动台上的被处理物之上,因此有可能在被处理物上附着粉尘。
第三,当使移动台下降移动时,由于加速度提高,因此对于被处理物的保持力减弱,被处理物有可能滑动(偏移位置)。
另外,移动台驱动机构(纵向多关节机器人)不仅如上述那样需要大的动作空间,其本身也是大规模的部件,使得成本上难以实施。
本发明是鉴于上述问题作成的,提供一种在集束型构架中不将平台的纵向空间相下方延伸,通过机构和动作简单且高效的真空运送机器人使运送能力大幅提高的真空处理装置以及真空运送装置。
在本发明的真空处理装置中,包括:真空运送室,所述真空运送室的室内被保持为负压状态;一个或多个真空处理室,与所述真空运送室的周围邻接地设置,并在负压下的室内对被处理物进行预定的处理;一个或多个装载固定室,与所述真空运送室的周围邻接地设置,所述装载固定室的室内选择性地被切换为大气状态或负压状态,并将在大气空间和所述真空运送室之间运送的被处理物暂时地留置;以及第一以及第二真空运送机器人,为了在所述装载固定室和某一所述真空处理室之间,或者在不同的所述真空处理室之间运送被处理物,而被设置在所述真空运送室内,所述第一以及第二真空运送机器人被构成为能够在从所述装载固定室侧观察而得的所述真空运送室的左侧运送区域和右侧运送区域中分别向纵深方向延伸的第一以及第二运送路径上分别在所述真空运送室内移动,所述第一真空运送机器人能够对于与所述左侧运送区域邻接的全部的所述真空处理室、与所述右侧运送区域邻接的至少一个所述真空处理室、以及至少一个所述装载固定室进行用于运入或运出被处理物的存取,所述第二真空运送机器人能够对于与所述右侧运送区域邻接的全部的所述真空处理室、与所述左侧运送区域邻接的至少一个所述真空处理室、以及至少一个所述装载固定室进行用于运入或运出被处理物的存取。
另外,本发明的真空处理装置中的真空运送装置,其中,所述真空处理装置包括:一个或多个真空处理室,在室内被保持为负压状态的真空运送室的周围与所述真空运送室邻接地设置,并在负压下的所述真空处理室的室内对被处理物进行预定的处理;以及一个或多个装载固定室,与所述运送室邻接地设置,所述装载固定室的室内选择性地被切换为大气状态或负压状态,并将在大气空间和所述真空运送室之间运送的被处理物暂时地留置,所述真空运送装置为了在所述真空运送室和所述真空处理室、或者和所述装载固定室之间交接被处理物而被设置在所述真空运送室内,所述真空运送装置包括:第一以及第二真空运送机器人,被构成为能够在从所述装载固定室侧观察而得的所述真空运送室的左侧运送区域和右侧运送区域中分别向纵深方向延伸的第一以及第二运送路径上分别在所述真空运送室内移动,所述第一真空运送机器人能够对于与所述左侧运送区域邻接的全部的所述真空处理室、与所述右侧运送区域邻接的至少一个所述真空处理室、以及至少一个所述装载固定室进行用于运入或运出被处理物的存取,所述第二真空运送机器人能够对于与所述右侧运送区域邻接的全部的所述真空处理室、与所述左侧运送区域邻接的至少一个所述真空处理室、以及至少一个所述装载固定室执进行用于运入或运出被处理物的存取。
在本发明的真空处理装置或者真空运送装置中,在真空运送室内,第一真空运送机器人能够将左侧运送区域作为主要的动作区域并且能够仅向右侧运送区域突出,另一方面,第二真空运送机器人能够将右侧运送区域作为主要的动作区域并且能够仅向左侧运送区域突出。即,在本发明的优选的一个方式中,第一真空运送机器人被构成为可任意地切换能够完全收纳在左侧运送区域内地移动的基本姿势和从左侧运送区域向右侧运送区域突出的姿势,第二真空运送机器人被构成为可任意地切换能够完全收纳在右侧运送区域内地移动的基本姿势和从右侧运送区域向左侧运送区域突出的姿势。
本发明优选的一个方式的第一以及第二真空运送机器人分别包括:第一以及第二运送主体,被构成为能够在第一以及第二运送路径上分别在真空运送室内移动;第一以及第二运送底座,分别搭载在第一以及第二运送主体使得能够在与纵深方向交叉的水平的偏移方向上移动;以及第一以及第二运送臂,能够在水平面内旋转运动,并且分别搭载在第一以及第二运送底座使得能够在与旋转圆的半径平行的方向上直线移动,并被构成为能够支持被处理物。
在本发明的优选的一个方式中,第一以及第二真空运送机器人为了将左侧以及右侧运送区域作为主要的动作区域,第一以及第二运送主体被构成为在第一以及第二运送路径上分别滑动移动,或者以在第一以及第二运送路径上相互错开的状态移动。
另外,在另外的优选的一个方式中,第一真空运送机器人为了从左侧运送区域向右侧运送区域突出,第一运送底座能够在收纳在左侧运送区域内的第一回行运动终止位置和从左侧运送区域向右侧运送区域突出的第一前进运动终止位置之间移动。另外,第二真空运送机器人为了从右侧运送区域向左侧运送区域突出,第二运送底座能够在收纳在右侧运送区域内的第二回行运动终止位置和从右侧运送区域向左侧运送区域突出的第二前进运动终止位置之间移动。在该情况下,优选第一以及第二运送底座在偏移方向上以能够滑动移动的方式分别搭载在第一以及第二运送主体。
在本发明中,第一真空运送机器人不仅能够对与左侧运送区域邻接的全部的真空处理室进行存取,还能够对与右侧运送区域邻接的至少一个真空处理室进行存取,并且能够对至少一个装载固定室进行存取。另一方面,第二真空运送机器人不仅能够对与右侧运送区域邻接的全部的真空处理室进行存取,还能够对与左侧运送区域邻接的至少一个真空处理室进行存取,并且能够对至少一个装载固定室进行存取。
通过组合上述的第一以及第二真空运送机器人的运送功能,能够对于加工腔室的任一者首先由运送机器人的一者通过准时生产运出某被处理物,然后由另一者(根据情况可仍为上一者)通过准时生产运入另外的被处理物。
另外,在本发明中,由于如上述那样地,第一以及第二运送机器人的各部(即运送主体、运送底座、运送臂)均是在水平方向上进行滑动动作或旋转动作的结构,一概不执行纵(铅直)向的屈伸/伸缩动作或旋转运动,因此在纵(铅直)向上不需要大的动作空间。由此,能够减小真空运送室的纵向尺寸。另外,在真空运送室内,由于运送机构的部件不通过被处理物之上,因此减少了在被处理物上附着粉尘的可能性。此外,在真空运送室内,由于未对被处理物付与纵(铅直)向的加速度(特别是向上的加速度),因此能够在运送臂上稳定地保持被处理物。
另外,在如如上述那样地将运送机器人的各部统一为水平滑动/水平旋转型的机构的结构的情况下,容易将各部的驱动源(最好是全部的驱动源)配置在真空处理室之外,由此不需要将容纳电缆等的接头管或挠性管引回到真空处理室内,增大了运送机器人的移动范围或滑动行程。
发明效果
根据本发明的真空处理装置或真空运送装置,通过上述的结构或作用,能够在集束型构架中不将平台的纵向空间相下方延伸,通过机构和动作简单且高效的真空运送机器人使运送能力大幅提高。
附图说明
图1是示出本发明的一实施方式中的集束型构架方式的真空处理装置的结构的大致平面图;
图2是示意性示出所述真空处理装置中的平台(真空运送室)周围的纵向的布局的大致截面图;
图3是示出设置在所述真空处理装置中的平台内的第一以及第二真空运送机器人的结构的立体图;
图4是示出实施方式中的平台内的第一以及第二真空运送机器人的晶片运入/运出动作的一个阶段的大致平面图;
图5是示出所述第一以及第二真空运送机器人的晶片运入/运出动作的一个阶段的大致平面图;
图6是示出所述第一以及第二真空运送机器人的晶片运入/运出动作的一个阶段的大致平面图;
图7是示出所述第一以及第二真空运送机器人的晶片运入/运出动作的一个阶段的大致平面图;
图8是示出所述第一以及第二真空运送机器人的晶片运入/运出动作的一个阶段的大致平面图;
图9是示出所述第一以及第二真空运送机器人的晶片运入/运出动作的一个阶段的大致平面图;
图10是示出所述第一以及第二真空运送机器人的晶片运入/运出动作的一个阶段的大致平面图;
图11是示出关于在平台内同时运转的所述第一以及第二运送机器人的相互的位置关系的第一模式的大致平面图;
图12是示出关于所述第一以及第二运送机器人的相互的位置关系的第二模式的大致平面图;
图13是示出关于在平台内同时运转的所述第一以及第二运送机器人的相互的位置关系的第三模式的大致平面图;
图14是示出关于所述第一以及第二运送机器人的相互的位置关系的第四模式的大致平面图;
图15是示出实施方式中的臂驱动机构的结构的分解立体图;
图16是示出所述臂驱动机构的主要部分的构成的局部截面侧视图;
图17是示出在实施方式的运送机器人中用于使运送底座以偏移方向滑动移动的驱动机构的立体图;
图18是示出用于图18所示的驱动机构的花键轴的截面构造的截面图。
标号说明:
PF-平台(真空运送室)
PC1~PC6-加工腔室
LLCa、LLCb-装载固定腔室
GV1~GV6、GVa、GVb-门阀
16a-第一真空运送机器人
16b-第二真空运送机器人
46L-左侧导轨
46R-右侧导轨
48L(48R)-运送主体
50L(50R)-运送底座
42L(42R)-运送臂
55L(55R)-臂主体
64-臂运送机构
具体实施方式
下面,参考附图对本发明的优选的实施方式进行说明。
图1示出本发明的一个实施方式涉及的集束型构架方式的真空处理装置的整体结构。该真空处理装置设置在净化间内,并以与真空的平台(真空运送室)PF的周围邻接的方式集束状地配置6个真空加工腔室(真空处理室)PC1、PC2、PC3、PC4、PC5、PC6和2个装载固定腔室(装载固定室)LLCa、LLCb,所述平台具有向装置纵深方向(图中Y方向)延伸的一对边比其他的边长约2倍的五角形的形状。
更详细地说,在平台PF上以图中顺时针旋转的顺序在左侧的长边上经由门阀GV1、GV2分别连结第一以及第二加工腔室PC1、PC2,在左侧以及右侧的斜边上经由门阀GV3、GV4分别连结第三以及第四加工腔室PC3、PC4,在右侧的长边上经由门阀GV5、GV6分别连结第五以及第四六加工腔室PC5、PC6,在底边上经由门阀GVa、GVb而在左右方向上分开地分别连结两个装载固定室LLCa、LLCb
各个加工腔室PC1~PC6与专用的真空排气装置10连接(图2),室内以可变的压力保持在平常负压状态。典型的是,如图2所示那样在配置于室内的中央部的载置台12上放置被处理物(例如半导体晶片W),并使用预定的动力供给(power usage)(处理气体、高频等)来进行所需的单张处理,例如CVD、ALD(原子层沉积)或者溅射等真空成膜处理、热处理、半导体晶片表面的清洁处理、干刻加工等。
平台PF与专用的真空排气装置14连接(图2),室内通常以固定的压力保持在平常负压状态。在室内设置有彼此独立地进行晶片运送动作的两台真空运送机器人16L、16R。这些真空运送机器人16L、16R的构成和作用将在后面详细地说明。
装载固定腔室LLCa、LLCb分别经由开关阀与专用的真空排气装置(没有进行图示)连接,室内可被随时切换为大气压状态和真空状态的任一者。在从平台PF来看为相反侧的这侧,装载固定腔室LLCa、LLCb分别经由门阀DVa、DVb与大气压下的装载运送室LM连结。在装载固定腔室LLCa、LLCb的室内的中央部配置有用于装载存留着的半导体晶片W的交接台18。
与装载运送室LM邻接地设置有装载口LP和定位面(orientationflat)对齐机构ORT。装载口LP用于在与外部运送车之间投入及取出晶片盒CR,所述晶片盒CR例如可一次容纳25张半导体晶片W。这里,晶片盒CR被构成为SMIF(Standard Mechanical InterFace,标准机械接口)或FOUP(front opening unified pod,前面开口标准盒)等的箱或者盒。定位面对齐机构ORT用于将半导体晶片W的定位或缺口对准预定的位置或方向。
设置在装载运送室LM内的大气运送机器人20具有能够伸缩的一对运送臂22、24,所述大气运送机器人能够在线性马达26的直线导轨28上沿水平方向移动并能够进行升降/旋转,在装载口LP、定位面对齐机构ORT以及装载固定腔室LLCa、LLCb之间往来以单张(或批量)运送半导体晶片W。这里,大气运送机器人20在设置于各个晶片盒CR前面的LP门25打开的状态下将半导体晶片W运入装载运送室LM内。直线导轨28例如由包括永磁石的磁铁、驱动用磁力绕组以及刻度头(scale head)等构成,并根据来自主控制部30或大气运送系统控制器(没有进行图示)的指令对大气运送机器人20进行直线运动控制。
图2示出平台PF周围的纵向的布局。图中,PCL、PCR示出了与平台PF的左边以及右边分别邻接配置的加工腔室。加工腔室PCL、PCR包含在加工模块PML、PMR中,所述加工模块是将用于执行所需的真空单张处理的硬件设备单元化而得到的。在左侧的加工模块PML中,在加工腔室PCL之下配置构成真空排气装置10的排气管32、APC阀34以及真空泵(例如涡轮分子泵)36。这里,APC阀34的横向尺寸大,并且该APC阀向平台PF之下突出。右侧的加工模块PMR具有与左侧的加工模块PML大致相同的布局以及尺寸。
平台PF在纵向上具有与加工腔室PCL、PCR相同或近似的尺寸。平台PF正下方的空间38在设置构成真空排气装置14的排气管40以及真空泵42的位置上足够充裕,允许APC阀34从两侧的加工模块PML、PMR向内侧突出。另外,该空间38也被用于平台PF以及加工模块PML、PMR的维护。
图3示出设置在平台PF内的两台真空运送机器人(以下,仅简称为“运送机器人”。)16L、16R的结构。从装载固定腔室LLCa、LLCb(图1)侧观察,平台PF内的运送空间以运送功能被分为左右各半,在左侧的运送区域TEL和右侧的运送区域TER的底部分别铺有向纵深方向(Y方向)延伸的左侧导轨46L和右侧导轨46R。第一(左侧)的运送机器人16L在左侧导轨46L上动作,第二(右侧)的运送机器人16R在右侧导轨46R上动作。
第一运送机器人16L包括:长方体形状的运送主体48L,被构成为能够在左侧导轨46L上在平台PF内滑动移动;长方体形状的运送底座50L,被搭载在运送主体48L上使得所述运送底座能够在与纵深方向(Y方向)垂直的水平的偏移方向(X方向)上滑动移动;以及滑动型(非屈伸型)的运送臂52L,其能够在水平面内旋转移动,被搭载在运送底座50L上使得所述运送臂能够在与旋转圆的半径平行的方向上直线移动,并且被构成为能够支承一张半导体晶片W。
运送主体48L例如通过滚珠丝杠机构54L直线驱动。该滚珠丝杠机构54L的进给丝杠56L的一端与配置在平台PF外的马达58L结合。在运送主体48L上安装有与进给丝杠56L螺合的滚珠丝杠(没有进行图示)。
运送底座50L例如能够通过安装在运送主体48L的上表面的导轨60L和滚珠丝杠机构62L在偏移方向(X方向)上滑动移动。滚珠丝杠机构62L的驱动源(即马达)(在图3中没有进行图示)能够安装在运送主体48L上,也能够如后述那样地配置在平台PF之外(图17)。
运送臂52L和臂主体55L的结构将在后面进行详细说明(图15~图16)。
第二运送机器人16R除各部的运动或移动的方向为左右对称以外具有与第一运送机器人16L相同的结构和功能。图中,对第二运送机器人16R的各要素标注如下述的标号,即:具有与对应于其的第一运送机器人16L的要素相同的数字并将“L”置换为“R”。
在图3中,晶片运入运出口M1、M2、M3、M4、M5、M6、Ma、Mb分别与门阀GV1、GV2、GV3、GV4、GV5、GV6、GVa、GVb(图1)连接,所述晶片运入运出口在平台PF的周围方向以间隔预定的间隔的方式形成在侧面上。
这里,说明用于在该集束型构架内使投入到装载口LP的晶片盒CR内的1张半导体晶片Wi接受一系列处理的基本的晶片运送顺序。为了执行该晶片运送顺序,主控制部30直接地控制装置内的各部或者经由局部控制器(没有进行图示)间接地控制装置内的各部。
装载运送室LM内的大气运送机器人20从装载口LP上的晶片盒CR取出1张半导体晶片Wi,并将该半导体晶片Wi运送给定位面对齐机构ORT以使其接受定位面对齐,并在其完成后移送给装载固定腔室LLCa、LLCb的某一者,例如左侧装载固定腔室LLCa。移动目的地的左侧装载固定腔室LLCa在大气压状态下接收半导体晶片Wi,并在运入后对室内进行抽真空,在负压状态下将半导体晶片Wi交付给平台PF的第一(左侧)的运送机器人16L。
第一运送机器人16L使运送臂52L在回行运动终止位置和前进运动终止位置之间往复滑动移动,并将半导体晶片Wi从左侧装载固定腔室LLCa取出,运入第一个加工腔室(例如PC1)。在加工腔室PC1内,根据预先设定的工序并在预定的加工条件(气体、压力、电力、时间等)下进行第一工序的单张处理。
在该第一工序的单张处理结束之后,第一或第二运送机器人16L、16R的某一者将半导体晶片Wi从加工腔室PC1运出,接着将该运出的半导体晶片Wi运入第二加工腔室(例如PC2)。即使在该第二加工腔室中,也根据预先设定的配方并在预定的加工条件下进行第二工序的单张处理。
当该第二工序的单张处理结束时,第一或第二运送机器人16L、16R的某一者将半导体晶片Wi从第二加工腔室PM2运出,当存在下一工序时将该运出的半导体晶片Wi运入第三加工腔室(例如PC3),当不存在下一工序时将该运出的半导体晶片Wi运送给装载固定腔室LLCa、LLCb的某一者。当在第三以后的加工腔室(例如PC5)中进行了处理的情况下,第一或第二运送机器人16L、16R的某一者当存在下一工序时运入后级的加工腔室(例如PC6),当不存在下一工序时返回装载固定腔室LLCa、LLCb的某一者。
如果如上述那样地在集束型构架内的多个加工腔室PC1、PC2…中接受了一系列处理的半导体晶片Wi被运入装载固定腔室的某一个(例如LLCb),则该装载固定腔室LLMb的室内从负压状态切换为大气压状态。然后,装载运送室LM内的大气运送机器人20从大气压状态的装载固定腔室LLMb取出半导体晶片Wi并拿回到对应的晶片盒CR。在装载固定腔室LLCa、LLCb中能够在期望的气氛下对存留着的半导体晶片Wi实施加热或冷却处理。
如上所述,该集束型构架方式的真空处理装置通过经由负压下的平台PF将一个半导体晶片Wi顺次传送给多个加工腔室,能够在该半导体晶片Wi上顺序地连续实施一系列的真空处理,特别是能够通过真空薄膜形成加工而在多个加工腔室中连续地进行不同的成膜加工,以顺序地层压形成期望的薄膜。
接下来,结合图4~图10来说明该实施方式中的平台PF内的第一以及第二运送机器人16L、16R的基本的晶片运入/运出动作。作为一个示例,对并行地同时执行第一运送机器人16L将半导体晶片Wi运入第五加工腔室PC5的动作和第二运送机器人16R从第六加工腔室PC6运出另外的半导体晶片Wj的动作的情况进行说明。不论是在该情况下还是在任何情况下,当运送机器人16L、16R的至少一者运转时,主控制部30都将直接地控制装置内的各部或者经由局部控制器(没有进行图示)间接地控制装置内的各部。
首先,如图4所示,第一运送机器人16L在用运送臂52L保持半导体晶片Wi的状态下在左侧导轨46L上滑动移动,并在加工腔室PC5的大致正面处停止。另一方面,第二运送机器人16R将运送臂52R设为空出(无负载)的状态并在右侧导轨46R上滑动移动,在加工腔室PC6的大致正面处停止。
在图4中,第一以及第二运送机器人16L、16R分别采取基本姿势。即,采取如下姿势使得运送底座50L、50R位于运送主体48L、48R上的原位置(回行运动终止位置)并且运送臂52L、52R位于运送底座50L、50R上的与纵深方向(Y方向)并行且旋转半径为最小的原位置(回行运动终止位置)。在该基本姿势下,第一运送机器人16L不向右侧运送区域TER突出,并能够在左侧运送区域TEL内在纵深方向(Y方向)上自由地移动。另外,第二运送机器人16R不向左侧运送区域TEL突出,并能够在右侧运送区域TER内在纵深方向(Y方向)上自由地移动。因此,两运送机器人16L、16R如果能够相互错开,两运送机器人中的一者就能够超过另一者。
这样一来,当在加工腔室PC5的大致正面停止之后,第一运送机器人16L使运送底座50L从上述的基本姿势起向右侧滑动移动预定的行程使得所述运送底座50L在运送主体48L上向右侧运送区域TER突出。另一方面,第二运送机器人16R在加工腔室PC6之前使运送底座50R从上述的基本姿势起向左侧滑动移动预定的行程使得所述运送底座50R在运送主体48R上向左侧运送区域TEL突出。
如图6所示,接着,第一运送机器人16L在运送底座50L上使运送臂52L向图中逆时针方向旋转运动预定角度(约90°),将保持半导体晶片Wi的臂顶端部(末端执行器(end effector))朝向晶片运入运出口M5(图3)。第二运送机器人16R也在运送底座50R上使运送臂52R向图中顺时针方向旋转运动预定角度(约90°),并将空出(无负载)状态的臂顶端部(末端执行器)朝向晶片运入运出口M6(图3)。紧接其后,门阀GV5、门阀GV6分别打开。
如图7所示,接着,第一运送机器人16L使运送臂52L向前方直线移动(前进运动)预定的行程,以向第五加工腔室PC5内运入半导体晶片Wi,并在载置台12上交付半导体晶片Wi。这里,加工腔室PC5所具有的升降销机构(没有进行图示)在载置台12上以销顶端来承载并接收半导体晶片Wi,然后使半导体晶片Wi下降以载置在载置台12上。另一方面,第二运送机器人16R使运送臂52R向前方直线移动(前进运动)预定的行程,以向第六加工腔室PC6中插入运送臂52R的末端执行器,并在载置台12上从升降销机构(没有进行图示)接收半导体晶片Wj
如图8所示,接着,第一运送机器人16L使处于空出(无负载)状态的运送臂52L向后方直线移动(回行运动)而返回平台PF内,完成向加工腔室PC5运入半导体晶片Wi的动作。第二运送机器人16R使保持着半导体晶片Wj的运送臂52R向后方直线移动(回行运动)而返回平台PF内,完成从加工腔室PC6运出半导体晶片Wj的动作。
之后,第一以及第二运送机器人16L、16R根据运送工序分别向下一行进目的地进行所需的晶片运入/运出动作。例如,之后,第一运送机器人16L被编程以使其进行从第三加工腔室PC3运出另外的半导体晶片Wk的动作,第二运送机器人16R被编程以使其进行向右侧装载固定腔室LLCb运入半导体晶片Wj的动作。
如图9所示,在该情况下,第一运送机器人16L在使运送底座50L向右侧运送区域TER突出的状态下,使运送主体48L向纵深方向(Y方向)移动使得运送主体48L向第三加工腔室PC3接近,与此同时将运送臂52L向图中逆时针方向旋转运动预定角度(约120°),以将臂顶端部(末端执行器)朝向晶片运入运出口M3(图3)。另一方面,第二运送机器人16R将运送臂52R向图中顺时针方向旋转运动预定角度(约90°)。
如图10所示,接着,第一运送机器人16L使运送臂52L向前方直线移动(前进运动)预定的行程,以将运送臂52L的末端执行器插入第三加工腔室PC3中。另一方面,第二运送机器人16R使运送底座50L返回运送主体48R上的原位置,并将保持着半导体晶片Wj的运送臂52R的终端执行器朝向右侧装载固定腔室LLCb的正面,即晶片运入运出口Mb(图3)。
之后省略图示,第一运送机器人16L使接收到半导体晶片Wk的运送臂52L向后方直线移动(回行运动)预定的行程,以完成从第三加工腔室PC3运出半导体晶片Wk的动作。另一方面,第二运送机器人16R使运送臂52R向前方直线移动(前进运动)预定的行程,以向右侧装载固定腔室LLCb内的交接台18交付半导体晶片Wj,并使处于空出(无负载)状态的运送臂52R向后方直线移动(回行运动),以完成向右侧装载固定腔室LLCb运入半导体晶片Wj的动作。
之后,第一以及第二运送机器人16L、16R根据运送工序而在互不干涉的状态下并行地执行分别预定的晶片运送动作。
在该实施方式中,第一以及第二运送机器人16L、16R在平台PF内同时运转时的相互的位置关系具有图11~图14所示的四个模式。
如图11所示,第一模式是第一运送机器人16L在后部运送区域RE内运转,并且第二运送机器人16R在前部运送区域FE内运转的情况。在将平台PF内的运送空间在纵深方向(Y方向)上大致平分的情况下,将从装载固定腔室LLCa、LLCb侧观察而得的眼前这半的运送空间设为前部运送区域FE,并将里边那半的运送空间设为后部运送空间RE。
在该第一模式中,第一运送机器人16L通过使各部(运送主体48L、运送底座50L、运送臂52L)动作,能够对第二、第三、第四以及第五加工腔室PC2、PC3、PC4、PC5任意地进行存取以运入/运出半导体晶片W。另一方面,第二运送机器人16R通过使各部(运送主体48R、运送底座50R、运送臂52R)动作,能够对第一、第六加工腔室PC1、PC6以及右侧装载固定腔室LLCb任意地进行存取以运入/运出半导体晶片W。
如图12所示,第二模式与第一模式相反,是第一运送机器人16L在前部运送区域FE内运转,并且第二运送机器人16R在后部运送区域RE内运转的情况。在该情况下,第一运送机器人16L能够对第一、第六加工腔室PC1、PC6以及左侧装载固定腔室LLCa任意地进行存取以运入/运出半导体晶片W。另外,第二运送机器人16R对第二、第三、第四以及第五加工腔室PC2、PC3、PC4、PC5任意地进行存取以运入/运出半导体晶片W。
如图13所示,第三模式是第一以及第二运送机器人16L、16R共同地在前部运送区域FE内运转的情况。在该情况下,第一运送机器人16L能够仅对左侧装载固定腔室LLCa进行存取以运入/运出半导体晶片W,第二运送机器人16R能够仅对右侧装载固定腔室LLCb进行存取以运入/运出半导体晶片W。
如图14所示,第四模式是第一以及第二运送机器人16L、16R共同地在后部运送区域RE内运转的情况。在该情况下,两运送机器人16L、16R都仅能够以基本姿势在各自的运送路径46L、46R(图1~图11)上移动。尤其是,能够如上述那样相互同向以及相互逆向地移动,并能够相互错开。
如上所述,在该集束型构架的真空处理装置中,与平台PF的周围邻接地配置多个(6个)加工腔室PC1~PC6以及多个(2个)装载固定腔室LLCa、LLCb,并且在平台PF内设置第一以及第二运送机器人16L、16R。
第一运送机器人16L将平台PF的左侧运送区域TEL作为主要的动作区域并能够向右侧运送区域TER突出,能够对除右侧装载固定腔室LLCb以外的全部的腔室PC1~PC6以及LLCa进行用于运入/运出晶片的存取。另一方面,第二运送机器人16R将平台PF的右侧运送区域TER设为主要的动作区域并能够向左侧运送区域TEL突出,并能够对除左侧装载固定腔室LLCa以外的全部的腔室PC1~PC6、以及LLCb进行用于运入/运出晶片的存取。
各个运送机器人16L、16R在一次存取中仅执行半导体晶片W的运入或运出的某一者,其不能通过所谓的拾放(pick&place)动作来运出某个半导体晶片Wi并运入另外的半导体晶片Wj以替换所述半导体晶片Wi。但是,通过适当组合上述4个并列运转模式(图11~图14),以使两运送机器人16L、16R协作动作,能够对于加工腔室PC1~PC6的任一者首先由运送机器人16L、16R的一者通过准时生产(just in time)运出某半导体晶片Wi,然后由另一者(根据情况可仍为上一者)通过准时生产运入另外的半导体晶片Wj
该实施方式中的晶片运送方式可特别有利地发挥作用的情况如下,即:不能在运出已由加工腔室PC1~PC6的某一者处理完的半导体晶片Wi之后,立即以替换其的方式运入未处理的半导体晶片Wj。典型的是,在某加工腔室(例如PC4)中,在紧接于本该的真空单张处理之后的无晶片的状态下被执行的后处理(例如清洁处理)需要长时间的情况。在该情况下,通过该实施方式,从加工腔室PC4运出已处理完的半导体晶片Wi的运送机器人(例如左侧运送机器人16L)不需要待在加工腔室PC4之前直至其后处理结束,而是能够立刻将半导体晶片Wi运入应进行下一工序的另外的加工腔室(例如PC5),或在没有下一工序时将半导体晶片Wi运入左侧装载固定腔室LLCa。并且,紧接在加工腔室PC4中结束后处理之后,右侧运送机器人16R(或左侧运送机器人16L)能够通过准时生产对加工腔室PC4进行存取而运入未处理的半导体晶片Wj
另外,在将平台PF的纵深尺寸设得更长使得设置出在左右长边上配置3部以上的加工腔室PC的集束型构架的情况下,由于平台PF中的真空运送装置的动作空间向纵深方向上大幅地扩大,因此使2台运送机器人如上述那样动作的本发明的优点会更加显著。
接着,对用于驱动搭载在该实施方式的运送机器人16L(16R)上的运送臂52L(52R)的臂驱动机构64进行说明。
如图15以及图16所示,该臂驱动机构64横跨运送底座50L(50R)和臂主体55L(55R)而设置。更详细地说,在运送底座50L(50R)侧设置旋转驱动用马达68、直线运动驱动用马达70以及第一齿轮机构72,并且在臂主体55L(55R)侧设置第二齿轮机构74以及滚珠丝杠76,在运送底座50L(50R)和臂主体66之间铅直地设置连结棒78。
在运送底座50L(50R)中,第一齿轮机构72具有同轴圆筒构造的内部水平旋转轴80以及外部水平旋转轴82。如图16所示,在内部水平旋转轴80和外部水平旋转轴82之间以及内部水平旋转轴80和芯轴84之间分别设置有轴承86。
在外部水平旋转轴82的一端固定有平齿轮88,在其轴向外侧的内部水平旋转轴80的一端固定有平齿轮90。在所述平齿轮88、90上分别啮合与旋转驱动用马达68以及直线运动驱动用马达70连接的平齿轮92、94。
在外部水平旋转轴82的另一端固定有伞齿轮96,在其轴向外侧的内部水平旋转轴80的另一端固定有伞齿轮98。在所述伞齿轮96、98上分别啮合被安装在连结棒78的下端的伞齿轮100、102。
连结棒78具有同轴圆筒构造的内部垂直旋转轴104以及外部垂直旋转轴106。如图16所示,在内部垂直旋转轴104和外部垂直旋转轴106之间、内部垂直旋转轴104和芯轴108之间、以及外部垂直旋转轴106和运送底座50L(50R)的上顶板之间分别设置有轴承110。外部垂直旋转轴106的一端(下端)固定有上述伞齿轮100,在其轴向外侧的内部垂直旋转轴104的一端(下端)固定有上述伞齿轮102。
外部垂直旋转轴106的另一端(上端)固定在臂主体55L(55R)的底板,在其轴向外侧(上方),即在臂主体55L(55R)中的内部垂直旋转轴104的另一端(上端)固定有伞齿轮112。在伞齿轮112上啮合有第二齿轮机构74的伞齿轮114。
在臂主体55L(55R)内,第二齿轮机构74具有圆筒型的水平旋转轴116。如图16所示,在水平旋转轴116和芯轴118之间设置有轴承120。
在水平旋转轴116的一端固定有上述伞齿轮114,在另一端固定有平齿轮122。在该平齿轮122上啮合有被固定在滚珠丝杠机构74的进给丝杠124的一端的平齿轮126。
运送臂52L(52R)由一块板体形成,其基座端部安装在与进给丝杠124螺合的滚珠丝杠128上。另外,设置有与进给丝杠124平行地延伸的导轨130,在该导轨130上滑动的引导部132也安装在运送臂52L(52R)的底座端部上。
在关联结构的臂驱动机构64中,当旋转驱动用马达68工作时,其旋转驱动力经由平齿轮92、平齿轮88、外部水平旋转轴82、伞齿轮96以及伞齿轮100传递给外部垂直旋转轴106,臂主体55L(55R)通过外部垂直旋转轴106旋转而与其一体地旋转。通过控制马达68的旋转方向以及旋转量,能够控制臂主体55L(55R)的旋转方向(顺时针/逆时针)以及旋转角度。
另外,当使直线运动驱动用马达70工作时,其旋转驱动力经由平齿轮94、平齿轮90、内部水平旋转轴80、伞齿轮98、伞齿轮102、内部垂直旋转轴104、伞齿轮112、伞齿轮114、水平旋转轴116、平齿轮122、以及平齿轮126传递给滚珠丝杠机构76的进给丝杠124,运送臂52L(52R)通过进给丝杠124旋转而在臂长度方向(即旋转圆的半径方向)上滑动移动。通过控制马达70的旋转方向以及旋转量,能够控制运送臂52L(52R)的移动方向(前进/后退)以及行程。
在该实施方式中,由于运送机器人16L、16R的各部(即运送主体48L(48R)、运送底座50L(50R)、运送臂52L(52R))均是在水平方向上进行滑动动作或旋转动作的结构,一概不执行纵(铅直)向的屈伸/伸缩动作或旋转运动,因此在纵(铅直)向上不需要大的动作空间。由此,能够减小平台PF的纵向尺寸,从而能够如上述那样构成使相邻的加工模块PM所具有的APC阀34向平台正下方的空间38(图2)突出的布局。另外,在平台PF内,由于运送机构的部件不通过半导体晶片W之上,因此消除了在半导体晶片W上附着粉尘的可能。此外,在平台PF内,由于未对半导体晶片W付与纵(铅直)向的加速度(特别是向上的加速度),因此能够在运送臂52L(52R)上稳定地保持半导体晶片W。
另外,在如该实施方式那样将运送机器人16L、16R的各部统一为水平滑动/水平旋转型的机构的结构中,还具有如下优点,即:容易将各部的驱动源配置在平台PF之外,由此不需要将容纳电缆等的接头管或挠性管引回到平台PF内,增大了运送机器人16L、16R的移动范围或滑动行程。
例如,如图17以及图18所示,在用于在运送主体48L(48R)上使运送底座50L(50R)以偏移方向(X方向)滑动移动的机构中,通过在运送底座50L(50R)侧的滚珠丝杠机构62L(62R)和驱动源的电力马达140之间介有包括花键轴142、平齿轮144、平齿轮146、水平旋转轴148、伞齿轮150、伞齿轮152的传动机构154,能够将电力马达140配置在平台PF之外。
以上对本发明的优选的实施方式进行了说明,但本发明不限定于上述的实施方式,能够在其技术思想的范围内进行各种变形/变更。
例如,运送机器人16L、16R中的各部的滑动移动不需要一定是直线的,根据需要其可以弯曲。
另外,在上述的实施方式中构成为在五角形的平台PF的底边上左右配置两个装载固定腔室LLCa、LLCb,第一运送机器人16L能够仅对左侧的装载固定腔室LLCa进行存取,第二运送机器人16R能够仅对右侧的装载固定腔室LLCb进行存取。但是,也能够设为如下方式:例如将平台PF的底边变形为等腰三角形的两个斜边(将平台PF设为六角形)使得在两个斜边上连接装载固定腔室LLCa、LLCb,两运送机器人16L、16R的任一者能够对两装载固定腔室LLCa、LLCb的任一者进行存取。
另外,虽然省略图示,但如果在平台PF内的空间内存在剩余,则运送机器人16L、16R的两者或一者可以具有多个运送臂52L(52R)。在该情况下,例如也能够通过对于加工腔室的一次的存取来使用一者的运送臂运出(拾取)处理完的半导体晶片并使用另一者的运送臂运入(放入)未处理的半导体晶片以代替所述处理完的半导体晶片,即能够执行所谓的拾放动作。
本发明中的被处理物不限于半导体晶片,例如可以是FPD衬底,还可以是通过集束型构架的真空处理装置来接受任意的处理的任意的被处理物。

Claims (15)

1.一种真空处理装置中,包括:
真空运送室,所述真空运送室的室内被保持为负压状态;
一个或多个真空处理室,与所述真空运送室的周围邻接地设置,并在负压下的所述真空处理室的室内对被处理物进行预定的处理;
一个或多个装载固定室,与所述真空运送室的周围邻接地设置,所述装载固定室的室内选择性地被切换为大气状态或负压状态,并将在大气空间和所述真空运送室之间运送的被处理物暂时地留置;以及
第一以及第二真空运送机器人,为了在所述装载固定室和某一个所述真空处理室之间,或者在不同的所述真空处理室之间运送被处理物,而被设置在所述真空运送室内,
所述第一以及第二真空运送机器人被构成为能够在从所述装载固定室侧观察而得的所述真空运送室的左侧运送区域和右侧运送区域中分别向纵深方向延伸的第一以及第二运送路径上分别在所述真空运送室内移动,
所述第一真空运送机器人能够对于与所述左侧运送区域邻接的全部的所述真空处理室、与所述右侧运送区域邻接的至少一个所述真空处理室、以及至少一个所述装载固定室进行用于运入或运出被处理物的存取,
所述第二真空运送机器人能够对于与所述右侧运送区域邻接的全部的所述真空处理室、与所述左侧运送区域邻接的至少一个所述真空处理室、以及至少一个所述装载固定室进行用于运入或运出被处理物的存取。
2.根据权利要求1所述的真空处理装置,其中,
所述第一真空运送机器人被构成为可任意地切换能够完全收纳在左侧运送区域内地移动的基本姿势和从所述左侧运送区域向所述右侧运送区域突出的姿势,
所述第二真空运送机器人被构成为可任意地切换能够完全收纳在右侧运送区域内地移动的基本姿势和从所述右侧运送区域向所述左侧运送区域突出的姿势。
3.根据权利要求1或2所述的真空处理装置,其中,
所述第一以及第二真空运送机器人分别包括:
第一以及第二运送主体,被构成为能够在所述第一以及第二运送路径上分别在所述真空运送室内移动;
第一以及第二运送底座,分别搭载在所述第一以及第二运送主体使得能够在与所述纵深方向交叉的水平的偏移方向上移动;以及
第一以及第二运送臂,能够在水平面内旋转运动,并且分别搭载在所述第一以及第二运送底座使得能够在与旋转圆的半径平行的方向上直线移动,并被构成为能够支持被处理物。
4.根据权利要求3所述的真空处理装置,其中,
所述第一以及第二运送主体在所述第一以及第二运送路径上分别滑动移动。
5.根据权利要求3所述的真空处理装置,其中,
所述第一以及第二运送主体在所述第一以及第二运送路径上以互相错开的状态移动。
6.根据权利要求3所述的真空处理装置,
所述第一运送底座能够在收纳在所述左侧运送区域内的第一回行运动终止位置和从所述左侧运送区域向所述右侧运送区域突出的第一前进运动终止位置之间移动,
所述第二运送底座能够在收纳在所述右侧运送区域内的第二回行运动终止位置和从所述右侧运送区域向所述左侧运送区域突出的第二前进运动终止位置之间移动
7.根据权利要求3所述的真空处理装置,其中,
所述第一以及第二运送底座,分别搭载在所述第一以及第二运送主体上使得能够在所述偏移方向滑动移动
8.根据权利要求3所述的真空处理装置,其中,
所述第一以及第二运送臂分别具有能够支持一个被处理物的末端执行器。
9.根据权利要求3所述的真空处理装置,其中,
所述第一以及第二运送臂分别具有能够支持多个被处理物的末端执行器。
10.根据权利要求3所述的真空处理装置,其中,
所述运送主体设置在比所述真空处理室的底面高的位置。
11.根据权利要求1所述的真空处理装置,其中
用于所述第一以及第二真空运送机器人的全部的驱动源被设置在所述真空处理室之外。
12.根据权利要求1所述的真空处理装置,其中,
在至少一个所述真空处理室中,紧接在处理完的被处理物被运出之后,在所述真空处理室的室内没有被处理物的状态下进行预定的后处理。
13.一种真空处理装置中的真空运送装置,其中,
所述真空处理装置包括:一个或多个真空处理室,在室内被保持为负压状态的真空运送室的周围与所述真空运送室邻接地设置,并在负压下的所述真空处理室的室内对被处理物进行预定的处理;以及一个或多个装载固定室,与所述运送室邻接地设置,所述装载固定室的室内选择性地被切换为大气状态或负压状态,并将在大气空间和所述真空运送室之间运送的被处理物暂时地留置,
所述真空运送装置为了在所述真空运送室和所述真空处理室、或者和所述装载固定室之间交接被处理物而被设置在所述真空运送室内,
所述真空运送装置包括:第一以及第二真空运送机器人,被构成为能够在从所述装载固定室侧观察而得的所述真空运送室的左侧运送区域和右侧运送区域中分别向纵深方向延伸的第一以及第二运送路径上分别在所述真空运送室内移动,
所述第一真空运送机器人能够对于与所述左侧运送区域邻接的全部的所述真空处理室、与所述右侧运送区域邻接的至少一个所述真空处理室、以及至少一个所述装载固定室进行用于运入或运出被处理物的存取,
所述第二真空运送机器人能够对于与所述右侧运送区域邻接的全部的所述真空处理室、与所述左侧运送区域邻接的至少一个所述真空处理室、以及至少一个所述装载固定室执进行用于运入或运出被处理物的存取。
14.根据权利要求13所述的真空运送装置,其中,
所述第一真空运送机器人被构成为可任意地切换能够完全收纳在左侧运送区域内地移动的基本姿势和从所述左侧运送区域向所述右侧运送区域突出的姿势,
所述第二真空运送机器人被构成为可任意地切换能够完全收纳在右侧运送区域内地移动的基本姿势和从所述右侧运送区域向所述左侧运送区域突出的姿势。
15.根据权利要求13所述的真空运送装置,其中,
所述第一以及第二真空运送机器人分别包括:
第一以及第二运送主体,被构成为能够在所述第一以及第二运送路径上分别在所述真空运送室内移动;
第一以及第二运送底座,分别搭载在所述第一以及第二运送主体使得能够在与所述纵深方向交叉的水平的偏移方向上移动;以及
第一以及第二运送臂,能够在水平面内旋转运动,并且分别搭载在所述第一以及第二运送底座使得能够在与旋转圆的半径平行的方向上直线移动,并被构成为能够支持被处理物。
CN2009801498492A 2008-12-18 2009-12-16 真空处理装置、真空运送装置 Pending CN102246286A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008321942A JP5139253B2 (ja) 2008-12-18 2008-12-18 真空処理装置及び真空搬送装置
JP2008-321942 2008-12-18
PCT/JP2009/006919 WO2010070896A1 (ja) 2008-12-18 2009-12-16 真空処理装置及び真空搬送装置

Publications (1)

Publication Number Publication Date
CN102246286A true CN102246286A (zh) 2011-11-16

Family

ID=42268575

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801498492A Pending CN102246286A (zh) 2008-12-18 2009-12-16 真空处理装置、真空运送装置

Country Status (5)

Country Link
US (1) US8380337B2 (zh)
JP (1) JP5139253B2 (zh)
KR (1) KR101192288B1 (zh)
CN (1) CN102246286A (zh)
WO (1) WO2010070896A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104620370A (zh) * 2012-09-10 2015-05-13 应用材料公司 基板处理系统及处理基板的方法
CN105934837A (zh) * 2014-01-21 2016-09-07 应用材料公司 允许低压工具替换的原子层沉积处理腔室
CN108122809A (zh) * 2016-11-30 2018-06-05 圆益Ips股份有限公司 基板处理系统

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5168300B2 (ja) * 2010-02-24 2013-03-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法
IT1403040B1 (it) 2010-12-10 2013-09-27 Steelco Spa Impianto di lavaggio perfezionato
WO2012098871A1 (ja) * 2011-01-20 2012-07-26 東京エレクトロン株式会社 真空処理装置
JP5964548B2 (ja) * 2011-02-24 2016-08-03 株式会社ディスコ ウエーハ加工装置
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
CN103594403B (zh) * 2012-08-15 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 片盒传输装置及具有其的半导体设备
JP2014093489A (ja) * 2012-11-06 2014-05-19 Tokyo Electron Ltd 基板処理装置
TWI624897B (zh) * 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
CN103624766A (zh) * 2013-04-27 2014-03-12 张家港诺信自动化设备有限公司 一种机器视觉并联分拣机器人
JP6213079B2 (ja) * 2013-09-09 2017-10-18 シンフォニアテクノロジー株式会社 Efem
KR101527901B1 (ko) * 2013-10-10 2015-06-10 피에스케이 주식회사 기판 처리 장치 및 기판 반송 방법
JP6246569B2 (ja) * 2013-11-20 2017-12-13 シロキ工業株式会社 ワーク搬送装置及びワーク搬送方法
JP6887332B2 (ja) * 2017-07-19 2021-06-16 東京エレクトロン株式会社 検査システム
KR20200110813A (ko) * 2018-02-15 2020-09-25 램 리써치 코포레이션 기판 이송 챔버 이동
JP7014055B2 (ja) * 2018-06-15 2022-02-01 東京エレクトロン株式会社 真空処理装置、真空処理システム、及び真空処理方法
JP7154986B2 (ja) * 2018-12-11 2022-10-18 平田機工株式会社 基板搬送装置及び基板搬送システム
KR102132993B1 (ko) * 2020-04-27 2020-07-10 (주)볼타오토메이션 열과 압력에 의한 챔버의 변형방지장치
KR102620088B1 (ko) * 2023-05-12 2024-01-02 에이피티씨 주식회사 기판 이송 장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001075965A1 (fr) * 2000-04-05 2001-10-11 Tokyo Electron Limited Dispositif de traitement
JP2006073835A (ja) * 2004-09-02 2006-03-16 Dainippon Screen Mfg Co Ltd 基板搬送装置およびそれを用いた基板処理装置
CN101006574A (zh) * 2005-06-28 2007-07-25 东京毅力科创株式会社 被处理对象的搬送装置
JP2008030151A (ja) * 2006-07-28 2008-02-14 Daihen Corp 直線移動機構およびこれを用いた搬送ロボット

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
JPH0846013A (ja) 1994-05-23 1996-02-16 Tokyo Electron Ltd マルチチャンバ処理システム用搬送装置
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6487799B2 (en) * 2000-05-24 2002-12-03 Darrell Burk Removable hitch attachment for earth-moving equipment
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
CN1711369B (zh) * 2002-11-15 2011-07-13 欧瑞康日光特吕巴赫股份公司 用于真空处理两维加长基片的装置及加工这种基片的方法
JP4283559B2 (ja) 2003-02-24 2009-06-24 東京エレクトロン株式会社 搬送装置及び真空処理装置並びに常圧搬送装置
JP4353903B2 (ja) 2005-01-07 2009-10-28 東京エレクトロン株式会社 クラスタツールの処理システム
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US8246284B2 (en) * 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001075965A1 (fr) * 2000-04-05 2001-10-11 Tokyo Electron Limited Dispositif de traitement
JP2006073835A (ja) * 2004-09-02 2006-03-16 Dainippon Screen Mfg Co Ltd 基板搬送装置およびそれを用いた基板処理装置
CN101006574A (zh) * 2005-06-28 2007-07-25 东京毅力科创株式会社 被处理对象的搬送装置
JP2008030151A (ja) * 2006-07-28 2008-02-14 Daihen Corp 直線移動機構およびこれを用いた搬送ロボット

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104620370A (zh) * 2012-09-10 2015-05-13 应用材料公司 基板处理系统及处理基板的方法
CN104620370B (zh) * 2012-09-10 2018-09-28 应用材料公司 基板处理系统及处理基板的方法
CN105934837A (zh) * 2014-01-21 2016-09-07 应用材料公司 允许低压工具替换的原子层沉积处理腔室
CN105934837B (zh) * 2014-01-21 2018-12-28 应用材料公司 允许低压工具替换的原子层沉积处理腔室
US10184179B2 (en) 2014-01-21 2019-01-22 Applied Materials, Inc. Atomic layer deposition processing chamber permitting low-pressure tool replacement
CN108122809A (zh) * 2016-11-30 2018-06-05 圆益Ips股份有限公司 基板处理系统
CN108122809B (zh) * 2016-11-30 2021-11-26 圆益Ips股份有限公司 基板处理系统

Also Published As

Publication number Publication date
US20110238201A1 (en) 2011-09-29
KR20100089107A (ko) 2010-08-11
JP2010147207A (ja) 2010-07-01
WO2010070896A1 (ja) 2010-06-24
KR101192288B1 (ko) 2012-10-17
JP5139253B2 (ja) 2013-02-06
US8380337B2 (en) 2013-02-19

Similar Documents

Publication Publication Date Title
CN102246286A (zh) 真空处理装置、真空运送装置
TWI571953B (zh) 真空處理裝置
JP2021010011A (ja) 基板処理装置
JP6480488B2 (ja) 基板搬送方法
JP5274339B2 (ja) 基板処理装置および基板搬送方法
TWI532114B (zh) Vacuum processing device and operation method of vacuum processing device
CN107112264A (zh) 晶片对准器
CN109478527A (zh) 基片处理设备
TWI767617B (zh) 半導體處理系統
KR20170120345A (ko) 기판 이송 로봇, 이를 이용한 장비 전단부 모듈 및 기판 이송 방법
KR20130104341A (ko) 기판 이송 방법, 기판 이송 로봇 및 이를 포함하는 기판처리시스템
KR101534660B1 (ko) 다방향 웨이퍼 이송 시스템
TWI681490B (zh) 載體搬送裝置及載體搬送方法
KR102099432B1 (ko) 기판반송장치, 이를 가지는 기판처리설비, 그리고 기판반송방법
CN207593791U (zh) 一种机械手及搬运机器人
KR101123888B1 (ko) 풉 적재 장치 및 그를 구비하는 열처리 장치
KR101534667B1 (ko) 다방향 웨이퍼 이송 시스템
KR100865782B1 (ko) 멀티 핑거 로봇
KR20120117318A (ko) 기판처리장치
KR101175988B1 (ko) 기판처리장치 및 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20111116