TWI624897B - 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法 - Google Patents

多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法 Download PDF

Info

Publication number
TWI624897B
TWI624897B TW103109730A TW103109730A TWI624897B TW I624897 B TWI624897 B TW I624897B TW 103109730 A TW103109730 A TW 103109730A TW 103109730 A TW103109730 A TW 103109730A TW I624897 B TWI624897 B TW I624897B
Authority
TW
Taiwan
Prior art keywords
load lock
wafer
batch
wafers
lock device
Prior art date
Application number
TW103109730A
Other languages
English (en)
Other versions
TW201448094A (zh
Inventor
韋佛爾威廉T
尤都史凱約瑟夫
雪勒傑森M
柏拉尼克傑佛瑞C
渥帕特羅伯特B
丹尼爾二世馬科尼N
米契爾羅伯特
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201448094A publication Critical patent/TW201448094A/zh
Application granted granted Critical
Publication of TWI624897B publication Critical patent/TWI624897B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Abstract

本文揭示了批次負載鎖定裝置之多種實施例。批次負載鎖定裝置包括:負載鎖定主體,該負載鎖定主體包括第一及第二負載鎖定開口;升舉組件,該升舉組件位於負載鎖定主體內部,該升舉組件包括多個晶圓站,該多個晶圓站之每一者經調適以為晶圓提供穿過該第一及第二負載鎖定開口之通道,其中該批次負載鎖定裝置包括溫度控制功能(例如加熱或冷卻)。批次負載鎖定裝置能夠將批次晶圓移入及移出多個處理腔室。本文提供包括批次負載鎖定裝置及操作該批次負載鎖定裝置之方法的系統,以及提供許多其他態樣。

Description

多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法 【相關申請案】
本申請案主張申請於2013年9月17日標題為「SUBSTRATE DEPOSITION SYSTEMS,ROBOT TRANSFER APPARATUS,AND METHODS FOR ELECTRONIC DEVICE MANUFACTURING」(代理人案號:20666USAL03/FEG/SYNX)之美國臨時專利申請案第61/879,076號的優先權,亦主張申請於2013年8月22日標題為「SUBSTRATE DEPOSITION SYSTEMS,ROBOT TRANSFER APPARATUS,AND METHODS FOR ELECTRONIC DEVICE MANUFACTURING」(代理人案號:20666USAL02/FEG/SYNX)之美國臨時專利申請案第61/868,795號的優先權,亦主張申請於2013年3月15日標題為「SUBSTRATE DEPOSITION SYSTEMS,APPARATUS AND METHODS FOR ELECTRONIC DEVICE MANUFACTURING」 (代理人案號:20666USA L/FEG/SYNX/CROC)之美國臨時專利申請案第61/787,117號的優先權,亦主張申請於2013年3月15日標題為「WAFER HANDLING SYSTEMS AND METHODS FOR SMALL BATCHES OF WAFERS」(代理人案號20667L/FEG/SYNX)之美國臨時專利申請案第61/800,595號的優先權,出於所有目的,該等所有申請案之全文內容在此以引用之方式併入本文。
本發明一般而言係關於半導體裝置製造,且更特定言之,本發明係關於負載鎖定裝置及方法。
在半導體裝置製造製程中,晶圓傳送系統可將晶圓移入及移出多個處理腔室,以經歷處理。一些腔室可同時處理小批次晶圓(例如約六個晶圓),諸如在旋轉料架處理系統中。一些已知的晶圓傳送系統可能能夠以相對高產量穿過製造製程移送晶圓,但是可能一次僅移送一個晶圓。
因此,尋求能夠將小批次之晶圓移入及移出多個腔室之改良的晶圓傳送系統及方法。
在第一態樣中,提供一種晶圓處理系統。晶圓處理系統包括移送室;一或更多處理腔室,該一或更多處理腔室耦接至移送室;批次負載鎖定裝置,該批次負載鎖定裝置耦接至移送室,該批次負載鎖定裝置包括多個晶圓站;及機器 人,該機器人位於移送室內,且經設置以在一或更多處理腔室與批次負載鎖定裝置之間移送晶圓,且其中該批次負載鎖定裝置包括溫度控制功能。
在另一態樣中,提供一種批次負載鎖定裝置。批次負載鎖定裝置包括負載鎖定主體,該主體包括第一及第二負載鎖定開口;升舉組件,該升舉組件位於負載鎖定主體內部,該升舉組件包括多個晶圓站,該多個晶圓站之每一者經調適以為晶圓提供穿過第一及第二負載鎖定開口之通路;及溫度控制平臺,該溫度控制平臺位於升舉組件之內部,包括溫度控制功能。
在另一態樣中,提供一種操作負載鎖定裝置之方法。該方法包含以下步驟:提供批次負載鎖定裝置,該批次負載鎖定裝置包括負載鎖定主體,該負載鎖定主體具有第一及第二負載鎖定開口;升舉組件,該升舉組件位於負載鎖定主體內,該升舉組件包括多個晶圓站;移動該升舉組件;經由第一及第二負載鎖定開口之一者,在位於升舉組件內部之多個晶圓站處接收晶圓;及改變晶圓之溫度。
從以下示例性實施例、附加申請專利範圍及附圖之詳細描述,本發明之其他特徵及態樣將變得更加顯而易見。
100‧‧‧晶圓處理系統
102‧‧‧移送室
103‧‧‧主機結構/機器人/主機主體
104‧‧‧處理腔室
106‧‧‧批次負載鎖定裝置
106A‧‧‧第一批次負載鎖定裝置
106B‧‧‧第二批次負載鎖定裝置
106C‧‧‧批次負載鎖定裝置
106H‧‧‧批次負載鎖定裝置
108‧‧‧機器人
110‧‧‧晶圓
110A‧‧‧晶圓
112‧‧‧旋轉料架
114‧‧‧旋轉料架主體
115‧‧‧旋轉料架腔室
116‧‧‧旋轉料架平臺
118‧‧‧開口
119‧‧‧主體
120‧‧‧工廠界面
121‧‧‧工廠界面腔室
122‧‧‧晶圓載器
124‧‧‧負載埠
125‧‧‧工廠界面機器人
125E2‧‧‧端效器
125E3‧‧‧端效器
200‧‧‧晶圓處理系統
202‧‧‧移送室
204A‧‧‧處理腔室
204B‧‧‧處理腔室
204C‧‧‧處理腔室
300‧‧‧晶圓處理系統
400‧‧‧晶圓處理系統
402‧‧‧移送室
407‧‧‧隔片
408‧‧‧機器人
412A‧‧‧第一旋轉料架
412B‧‧‧第二旋轉料架
530‧‧‧負載鎖定主體
532‧‧‧負載鎖定腔室
534‧‧‧升舉組件
536A‧‧‧晶圓站
536B‧‧‧晶圓站
536C‧‧‧晶圓站
536D‧‧‧晶圓站
536E‧‧‧晶圓站
536F‧‧‧晶圓站
536G‧‧‧晶圓站
538A‧‧‧第一負載鎖定開口
538B‧‧‧第二負載鎖定開口
540‧‧‧支撐堆疊
542‧‧‧溫度控制堆疊
544‧‧‧晶圓支撐件
545‧‧‧支撐構件
546‧‧‧堆疊豎板
547‧‧‧基座
548‧‧‧主致動器
549‧‧‧次致動器
549R‧‧‧致動桿
550‧‧‧運動控制器
554‧‧‧溫度控制平臺
556‧‧‧分配豎板
558‧‧‧電阻加熱元件
560‧‧‧傳導板
562‧‧‧絕緣板
564‧‧‧導管
565‧‧‧溫度子單元
567‧‧‧密封連接器
568‧‧‧溫度控制器
574A‧‧‧狹縫閥門
574B‧‧‧狹縫閥門
575‧‧‧真空泵
634‧‧‧升舉組件
652‧‧‧溫度控制堆疊
654‧‧‧溫度控制平臺
656‧‧‧分佈豎板
660‧‧‧傳導板
662‧‧‧絕緣構件
664‧‧‧導管
665‧‧‧溫度子單元
667‧‧‧密封連接器
668‧‧‧溫度控制器
670‧‧‧平臺
672‧‧‧液體通道
706‧‧‧負載鎖定裝置
734‧‧‧升舉組件
736A‧‧‧晶圓站
736B‧‧‧晶圓站
736C‧‧‧晶圓站
736D‧‧‧晶圓站
736E‧‧‧晶圓站
736F‧‧‧晶圓站
740‧‧‧支撐堆疊
750‧‧‧運動控制器
765‧‧‧溫度子單元
768‧‧‧溫度控制器
800‧‧‧方法
802‧‧‧步驟
804‧‧‧步驟
806‧‧‧步驟
808‧‧‧步驟
第1圖圖示包括根據實施例之批次負載鎖定裝置之晶圓處理系統。
第2圖圖示包括根據實施例之批次負載鎖定裝置之另一晶圓處理系統。
第3圖圖示包括根據實施例之多個批次負載鎖定裝置之另一晶圓處理系統。
第4圖圖示包括根據實施例之兩個批次負載鎖定裝置之另一晶圓處理系統。
第5A圖圖示根據實施例具有溫度控制功能之批次負載鎖定裝置之橫截面側視圖。
第5B圖圖示根據實施例具有溫度控制功能之批次負載鎖定裝置之另一橫截面側視圖。
第5C圖圖示根據實施例具有溫度控制功能之批次負載鎖定裝置之橫截面俯視圖。
第5D圖圖示根據實施例之批次負載鎖定裝置之升舉組件之一部分的側視平面圖(為達明晰移除其他元件而單獨圖示)。
第5E圖圖示根據實施例之批次負載鎖定裝置之升舉組件之一部分的側視平面圖(為達明晰移除其他元件而單獨圖示)。
第6圖圖示根據實施例之批次負載鎖定裝置之交替升舉組件之部分的側視平面圖(為達明晰移除其他元件而單獨圖示)。
第7圖圖示根據實施例具有或不具有溫度控制之另一批次負載鎖定裝置。
第8圖圖示根據實施例操作批次負載鎖定裝置之方法的流程圖。
本文描述之實施例係關於半導體處理系統,及包括批次負載鎖定裝置之晶圓傳送裝置及方法,該批次負載鎖定裝置經設置且經調適以移送小批次晶圓(例如5個或6個晶圓)。在一些實施例中,由批次負載鎖定裝置提供溫度控制功能。晶圓傳送系統之移送可移入或移出半導體處理系統中一或更多個處理腔室。在一些實施例中,晶圓處理腔室可能能夠同時處理小批次之晶圓,諸如在旋轉料架晶圓處理系統中。
晶圓傳送裝置、批次負載鎖定裝置可具有相對較小的佔地面積,且可維持與已知的晶圓傳送系統及方法(一次僅能移送一個晶圓)一樣或改良之晶圓產量。晶圓傳送裝置及如本文鎖描述之批次加載鎖定裝置之實施例可適用於將晶圓從ALD(原子層沉積)旋轉料架或其他處理腔室移送至工廠界面,且反之亦然。
根據一或更多個實施例,提供能夠移送批次晶圓的改良負載鎖定裝置。本文描述之批次負載鎖定裝置提供以簡單且有效之方式加載及卸載晶圓處理系統之能力。批次負載鎖定裝置可與工廠界面相接合,該批次負載鎖定裝置經設置且經調適以在批次負載鎖定裝置與停駐於工廠界面之晶圓載器之間移送晶圓。
本文參考第1圖至第8圖描述本發明之多種實施例之其他實例及描述。
現參看第1圖,第1圖圖示晶圓處理系統100之第一實施例。晶圓處理系統100包括移送室102;一或更多個處 理腔室104(標記出少數),該一或更多個處理腔室104從移送室102進入;批次負載鎖定裝置106,批次負載鎖定裝置106耦接至移送室102;及機器人108,機器人108位於移送室102之內部,且經設置以在一或更多個處理腔室104與批次負載鎖定裝置106之間移送晶圓110。在圖示之實施例中,批次負載鎖定裝置106包括多個晶圓站,可垂直堆疊該等晶圓站。
批次負載鎖定裝置可包括晶圓溫度控制功能。例如,多個晶圓站之一或更多者可包括溫度控制功能。溫度控制功能可包括主動加熱、主動冷卻或兩者。如本文將進一步描述,批次負載鎖定裝置106之該等站之一些或全部可包括加熱、冷卻或兩者。本文描述用於完成晶圓批次之加熱及冷卻之其他手段。因此,在一些實施例中,批次負載鎖定裝置106可包括在將晶圓110移送至機器人108上之處理腔室104之前預加熱晶圓110之能力。在其他實施例中,批次負載鎖定裝置106可包括在晶圓110從處理腔室104返回且移送回工廠界面120之後冷卻晶圓110之能力。
更詳細言之,移送室102可由主機結構103之壁形成。該等壁之一或更多者可移動以提供維修通道。移送室102內部之機器人108可包括任何適當的結構,該結構經設置且經調適以在一或更多個處理腔室104與批次負載鎖定裝置106之間移送晶圓110。機器人108可為任何適當的多軸機器人裝置,例如,如美國專利第5,789,878;5,879,127;6,267,549;6,379,095;6,582,175;以及6,722,834號;及美 國專利公開案2010/0178147;2013/0039726;2013/0149076;2013/0115028;以及2010/0178146中所描述之多軸機器人裝置。
移送室102可至少部分地或完全地容納機器人108。機器人108可經設置且經調適以將晶圓110(例如圖案化或未圖案化基板)置放至批次負載鎖定裝置106及一或更多個處理腔室104,且將晶圓110從批次負載鎖定裝置106及一或更多個處理腔室104抽出。在一些實施例中,可在真空下操作移送室102,例如機器人103可為真空機器人。
在圖示之實施例中,旋轉料架112(諸如圖示之原子層沉積旋轉料架)中可包括一或更多個處理腔室104。旋轉料架112可具有旋轉料架主體114,旋轉料架主體114具有形成於旋轉料架主體114中的旋轉料架腔室115,及旋轉料架平臺116,旋轉料架平臺116可在旋轉料架腔室115內旋轉,且具有多個晶圓置放位置(如圖示之虛線圈)。例如,旋轉料架平臺116可包括三個、四個、五個、六個或更多個晶圓置放位置。可使用其他數目之晶圓置放位置。可將各晶圓置放位置視為處理腔室104,其中可同時在兩個或更多個晶圓置放位置進行不同製程。在一些實施例中,一個晶圓置放位置可進行加熱,同時另一晶圓置放位置可經歷沉積製程。處理腔室104可藉由緊密公差壁、多個氣體分離器或類似物分離。在處理腔室104中可進行其他製程。
旋轉料架112可包括位於旋轉料架112中之一或更多個處理腔室104,該一或更多個處理腔室104經由開口118 耦接至移送室102。旋轉料架112內之處理腔室104可經調適在晶圓110上進行任何數目之製程步驟,諸如原子層沉積(ALD)或類似製程步驟。其他製程亦可在處理腔室104中進行。在處理前後,當晶圓置放位置上個別晶圓110與開口118對準時,機器人108加載及卸載晶圓110之通道穿過開口118。當晶圓110在旋轉料架平臺116之晶圓置放位置上旋轉時進行處理。晶圓處理旋轉料架為熟知的元件,且本文將不做進一步的描述。
如圖所示,批次負載鎖定裝置106可經耦接至與開口118相對之移送室102。可使用其他方向之開口118及批次負載鎖定裝置106。如本文將參看至少第5A圖至第5F圖及第6圖描述,在一或更多實施例中,批次負載鎖定裝置106可包括多個晶圓站(例如536A-536G)。
旋轉料架112及批次負載鎖定裝置106可包括位於旋轉料架112及批次負載鎖定裝置106之入口/出口處之狹縫閥,該等狹縫閥可經設置且經調適以在將晶圓110置放於旋轉料架112之多個腔室及從該多個腔室抽出晶圓110時打開及關閉。狹縫閥可為任何適當之習知構造,諸如L運動狹縫閥。在一些實施例中,位於自移送室至批次負載鎖定裝置106之開口處的狹縫閥可為雙倍高度,以使得不同高度之端效器能夠易於進入批次負載鎖定裝置之若干個站,而機器人103無垂直高度變化,諸如在將雙端效器機器人用作機器人108時。同樣地,批次負載鎖定裝置106可包括雙個或三個或更多個尺寸之開口,以允許將多個晶圓100加載至工廠界面120 及將多個晶圓100從工廠界面120卸載。
批次負載鎖定裝置106可耦接在主機主體103與工廠界面120之工廠界面主體119之間。工廠界面120可包括工廠界面腔室121,工廠界面腔室121經調適以從停駐於工廠界面120之負載埠124處之晶圓載器122接收一或更多個晶圓110。藉由工廠界面120之工廠界面腔室121內容納的工廠界面機器人125可移送晶圓110,且可以任何順序或方向進行移送。工廠界面120中工廠界面機器人125可為完全習知的,但工廠界面機器人125可包括多個堆疊端效器,該等端效器致能一次移送多個晶圓110。如本文所使用,晶圓110應意謂用於製造電子裝置或電路元件之物品,諸如含氧化矽晶圓、玻璃碟、遮罩或類似物(不論圖案化還是未圖案化之晶圓)。
現參看第5A圖至第5C圖,批次負載鎖定裝置106可包括負載鎖定主體530,負載鎖定主體530具有在負載鎖定主體530中形成負載鎖定腔室532之壁。圖示之批次負載鎖定裝置106之實施例包括溫度控制功能。詳言之,批次負載鎖定裝置106包括負載鎖定腔室532內部之晶圓溫度控制功能。
更詳細言之,批次負載鎖定裝置106包括定位於負載鎖定主體530內部之升舉組件534,該升舉組件534包括多個晶圓站(例如晶圓站536A-536G)。各晶圓站536A-536G經設置且經調適以藉由機器人108、125為晶圓110提供穿過第一及第二負載鎖定開口538A、538B之通道。例如,晶圓站536A-536G可間隔相等之垂直間隔,諸如約25mm與約40mm 之間的間距。
如圖所示,升舉組件534包括七個晶圓站536A-536G。但是,可提供任何適當數目之晶圓站536A-536G,諸如3、4、5、6、7或更多個。
升舉組件534包括溫度控制功能,諸如藉由包括經調適以向多個溫度控制平臺554移動晶圓110且從多個溫度平臺554移開晶圓110之功能(最佳圖示於第5E圖中)。如圖所示,機器人108、125之端效器經調適從第一及第二負載鎖定開口538A、538B接受晶圓,且將多個晶圓傳遞至第一及第二負載鎖定開口538A、538B。
升舉組件534可包括支撐堆疊540及溫度控制堆疊542,支撐堆疊540及溫度控制堆疊542相對於彼此可移動(例如垂直移動)。如第5D圖單獨最佳圖示,支撐堆疊540包括可垂直堆疊之多個晶圓支撐件544(少數經標記),各晶圓支撐件544經調適以支撐晶圓110(圖示之若干點虛線晶圓110)。多個晶圓支撐件544可附接於支撐構件545,支撐構件545可在多個間隔之垂直位置處附接於堆疊豎板546。在一些實施例中,可使豎板546及支撐構件545成為一體。
經由來自運動控制器550之控制訊號,藉由主致動器548之動作可完成升舉組件534之運動。運動控制器550可為任何適當之控制器,包括處理器及記憶體及能夠執行運動控制程式之其他適當之電子元件。運動控制器550可與待於本文進一步描述之其他控制器(諸如溫度控制器568)及用於系統100之處理控制器接合。
主致動器548可經操作升起及降下基座547、支撐堆疊540及溫度控制堆疊542(包括耦接至基座547之多個溫度控制平臺534)。此主要的垂直運動經調適以移動所要的晶圓站(一或更多站536A-536G),使該等晶圓站與個別開口538A、538B垂直對準,以允許從該等開口處交換晶圓110。另外,可將支撐堆疊540可移動地耦接至基座547,且其次支撐堆疊相對於升舉組件534之基座547可移動(例如垂直移動)。例如,支撐堆疊540相對於基座547之運動可由次致動器549產生,次致動器549可耦接至基座547之底面。次致動器之致動桿549R耦接至豎板546,且致動器桿549R之運動引起豎板546之運動。
在圖示之實施例中,如第5E圖最佳圖示(未圖示其他項目而單獨圖示),批次負載鎖定裝置106包括熱管理堆疊542。熱管理堆疊542包括位於升舉組件534內之多個溫度控制平臺554,溫度控制平臺554包括溫度控制功能,亦即控制晶圓110之溫度之能力。詳言之,視實施例而定,熱管理堆疊542可包括待於本文更完全描述之以下兩者之任一者:1)主動加熱功能,或2)主動冷卻功能。晶圓110可與個別溫度控制平臺554進行熱接觸(例如非常接近平臺554或與平臺554進行實際實體接觸)。
因此,例如在一個實施例中,藉由與熱管理堆疊542之各別溫度控制平臺554進行熱接觸,在將晶圓110移送至移送室102之前,可對晶圓110進行預加熱。例如,在機器人(例如第1圖之機器人108)將晶圓110移動至移送室102 之前,可主動地將晶圓110預加熱至約200℃與約450℃之間之溫度。
在圖示之實施例中,熱管理堆疊542包括耦接至分配豎板556之多個溫度控制平臺554。在圖示之實施例中,圖示之各別溫度控制平臺554在間隔(例如均勻間隔)之垂直位置處耦接(例如附接)至分配豎板556。藉由適當之扣件(例如螺栓或螺釘或類似扣件)可將溫度控制平臺554附接至分配豎板556。溫度控制平臺554之每一者可包括主動施熱功能,亦即,加熱或冷卻與平臺554熱接觸之晶圓之能力。
例如,第5E圖圖示之實施例中,可採用電阻加熱,其中在溫度控制平臺554之至少一些中或上提供一或更多個電阻加熱元件558之佈置。例如,電阻加熱元件558可穿過通道或凹槽,該等通道或凹槽可形成於溫度控制平臺554之傳導板560之中或之上。溫度控制平臺554可包括位於溫度控制平臺554底面上的絕緣板562。傳導板560可由具有相對高導熱係數之傳導材料(諸如鋁)組成。
導管564(例如電導管)可為電阻加熱元件558供給電功率。導管564可經設置以通過分配豎板556中一或更多個通道,或以其他方式適當佈線。導管564連接至溫度子單元565(諸如加熱器驅動單元),且提供來自溫度子單元565之功率。經由一或更多個密封連接器567,導管564以及次致動器549之控制接線可通過負載鎖定主體530之壁。
例如,溫度子單元565可提供約500W與約3000W之間之加熱功率。供給至電阻加熱元件558之功率可經由來 自溫度控制器568之控制訊號控制。溫度控制器568可包括適當之處理器及記憶體,以執行溫度控製程式。各別溫度控制平臺554之控制可針對溫度為個別控制、全域控制或區域控制,以試圖為多個晶圓110提供實質上相同之溫度曝露。各別平臺554之一些或全部可包括溫度控制功能。然而,平臺之一或更多者可能缺乏溫度控制,亦即,彼等平臺可能為不受控之平臺570,平臺570可能用於儲存或虛設或校正晶圓110A。
在另一實施例中,如第6圖所示,溫度控制堆疊652之溫度控制平臺654可經設置以包括水力加熱或冷卻,且可用於代替上述批次負載鎖定裝置106中溫度控制堆疊542。在此實施例中,可在溫度控制平臺654之至少一些中提供液體通道672之佈置。加熱或冷卻熱液體(例如水、乙二醇或上述各者之組合)可通過各別液體通道672。可能有溫度控制平臺654之每一者的流入及流出。例如,通道672可由交叉鑽孔及插塞組成。可使用其他用於形成通道672之手段。
藉由導管664(例如液體通道)可供給液體流。此實施例中導管664可包含在分佈豎板656中形成的多個通道,該等多個通道將液體提供至各別溫度控制平臺654,且使液體從各別溫度控制平臺流回。豎板656與多個平臺654之間的界面可經密封(諸如使用O形環)。獨立導管664可管接至溫度控制平臺654之每一者,以允許個別溫度控制,且使得能夠將各晶圓110加熱(或冷卻)至共同的目標溫度或 溫度範圍。
與之前一樣,溫度控制平臺654可包含傳導板660。可在傳導板之下側上提供絕緣構件662(諸如絕緣層或絕緣板材)。傳導板660可由具有相對高導熱係數之傳導材料(諸如鋁)組成。通過負載鎖定腔室532之導管664可為網狀液體載運接線或軟管。導管664連接至溫度子單元665,且提供來自溫度子單元665之加熱或冷卻液體,溫度子單元665可為液體加熱及/或冷卻單元。以與前述同樣的方法,導管664經由一或更多個密封連接器667可通過負載鎖定主體530之壁。
溫度子單元665可用以供給加熱液體,以加熱溫度控制平臺654,且因此將負載鎖定腔室532中之晶圓110加熱至所要的溫度(約200℃與450℃之間)。在將一批次提供至移送室102之前,可能作為批次執行該操作。
在其他實施例中,例如,藉由將冷卻液體經由導管664提供至溫度控制平臺654,溫度子單元665可用以將負載鎖定腔室532中溫度控制平臺654冷卻至所要的溫度(小於約70℃或在約70℃與40℃之間)。
在每一情況下,經由來自溫度控制器668之控制訊號可控制供給至溫度控制平臺654之流體的溫度。如前,各別溫度控制平臺654之一些或全部可包括溫度控制功能。然而,平臺之一或更多者可能缺乏溫度控制,亦即,該等平臺可能為不受控制之平臺670,平臺670可能用於儲存或其它用途。
在本文描述之每一實施例中,在溫度控制平臺564、654之上或附近可提供一或更多個感測器,以將溫度反饋提供至溫度控制器568、668。溫度可為全域控制或個別控制或區域控制或上述各者之組合。
現應顯而易見,本文中批次負載鎖定裝置100之實施例允許在晶圓110進入移送室或處理腔室的後加熱晶圓110。此外,在一些實施例中,在從移送室102移出時可冷卻晶圓110。視需要,當晶圓110進入批次負載鎖定裝置106進行處理時,可在批次負載鎖定裝置106中提供加熱晶圓110,且在處理後晶圓110移出批次負載鎖定裝置106時,可在工廠界面120內部提供冷卻站(或稱為裝置前端模組(EFEM))。
再參看第1圖,在一些實施例中,批次負載鎖定裝置106中晶圓站之數目可大於或等於晶圓110之數目,晶圓110包含處理腔室104中之一批次。例如,第1圖圖示之實施例中之批次為6個晶圓,亦即等於旋轉料架112之旋轉料架平臺116上站的數目。批次負載鎖定裝置106可包括多個晶圓接收站,諸如三個或更多個、四個或更多個、五個或更多個或六個或更多個晶圓站536A-536G。晶圓站536A-536G之一些或全部可包括加熱或冷卻功能。
例如,在第2圖圖示之晶圓處理系統200之實施例中,一批次為三個晶圓(例如處理腔室204A、204B及204C之每一者中有一個晶圓)。因此,與上述相同之批次負載鎖定裝置106可具有位於批次負載鎖定裝置106中之三個或更 多個晶圓站。在一些實施例中,可使用批次中晶圓數目之倍數,諸如兩倍、三倍或類似倍數。例如,若晶圓處理系統200包括三個晶圓110,則批次負載鎖定裝置106可具有位於批次負載鎖定裝置106中之6個晶圓站(晶圓數目之兩倍),或可具有位於批次負載鎖定裝置106中之9個晶圓站(晶圓數目之三倍)。與第1圖相比,除了處理腔室204A-204C及移送室202之設置不同之外,第2圖之所有其他元件與第1圖所描述相同。第2圖之實施例中,批次負載鎖定裝置106可具有第5A圖至第5E圖圖示之設置,或視需要包括第6圖之溫度控制堆疊652,且可包括主動加熱或主動冷卻批次負載鎖定裝置106中之一些或全部晶圓站。
例如,第3圖圖示另一晶圓處理系統300。在此晶圓處理系統300中,一批次為3個晶圓(例如各處理腔室204A、204B及204C中有一個晶圓)。然而,藉由增加額外耦接之主機、在每一側面增加更多處理腔室(例如雙腔室)及類似舉動,可包括更多數目處理腔室。在此實施例中,提供多個批次負載鎖定裝置106H及106C。一個批次負載鎖定裝置106H在批次負載鎖定裝置106H中可包括加熱。另一批次負載鎖定裝置106C在批次負載鎖定裝置106C中可包括冷卻。此外,批次負載鎖定裝置106H及106C之結構與本文描述之批次負載鎖定裝置106之結構相同。
批次負載鎖定裝置106H中多個晶圓站之一些或全部可包括加熱。批次負載鎖定裝置106C中多個晶圓站之一些或全部可包括冷卻。在一些實施例中,在批次負載鎖定裝置 106C、106H之一者或兩者中可使用批次中晶圓數目之倍數(諸如1倍、2倍、3倍或類似倍數)。例如,若晶圓處理系統300包括一批三個晶圓(如圖示),則各批次負載鎖定裝置106C、106H可包括位於批次負載鎖定裝置106C、106H中之3個晶圓站(晶圓數目之1倍);可包括位於批次負載鎖定裝置106C、106H中之6個晶圓站(晶圓數目之2倍)或可具有位於批次負載鎖定裝置106C、106H中之9個晶圓站(晶圓數目之3倍)。與第1圖相比,除了處理腔室204A-204C之設置不同及使用多個負載鎖定裝置106H、106C之外,第3圖實施例之所有其他元件與第1圖及第2圖所描述的相同。在第3圖所示之實施例中,批次負載鎖定裝置106H可具有第5A圖至第5E圖所示之設置,或包括第6圖所示之溫度控制堆疊,且可包括主動加熱。例如,批次負載鎖定裝置106C可具有第6圖所示之設置,且可包括主動冷卻。可在批次負載鎖定裝置106H、106C之每一者中一些或全部晶圓站上提供主動加熱及冷卻。
第4圖圖示另一晶圓處理系統400。在此晶圓處理系統400中,一批次為六個晶圓(例如旋轉料架112A、112B之每一者中有一個晶圓)。當旋轉料架412A或412B之一者進行處理時,另一者經卸載。在此實施例中,提供多個批次負載鎖定裝置106A、106B。批次負載鎖定裝置106A、106B可與本文描述之批次負載鎖定裝置106相同。如圖所示,適當之隔片407可用於將批次負載鎖定裝置106A、106B調適至工廠界面120及移送室402。
在第4圖之此實施例中,第一批次負載鎖定裝置106A可連同第一旋轉料架412A操作,第一旋轉料架412A位於自移送室402橫跨移送室402之相對位置。第二批次負載鎖定裝置106B可連同旋轉料架412B操作,旋轉料架412B位於自移送室402橫跨移送室402之相對位置。因而,在申請於2013年9月17日之美國臨時申請案第61/879,076號(以引用之方式併入本文)中所描述之機器人係完全通用的。當第二旋轉料架412B進行處理時,機器人408可在第一批次負載鎖定裝置106A與第一旋轉料架412A之間交換晶圓。當第二旋轉料架412B完成處理,機器人408可在第二批次負載鎖定裝置106B與第二旋轉料架412B之間交換晶圓,同時第一旋轉料架可經歷處理。
第7圖圖示批次負載鎖定裝置706之另一實施例。此實施例包括支撐堆疊740,支撐堆疊740耦接至基座534,且經由來自運動控制器750之控制訊號,經由主致動器548之動作,支撐堆疊740可移動。升舉組件734可經移動以使所要的晶圓站736A-736F與各別負載鎖定開口538A、538B對準,以加載及卸載晶圓110。
在一個實施例中,可不提供加熱或冷卻。在另一實施例中,可將加熱或冷卻氣體(例如氮氣)從溫度子單元765(受溫度控制器768之控制)引入負載鎖定腔室532,以加熱或冷卻負載鎖定腔室532中之晶圓110。氣流可在晶圓110之上且圍繞晶圓110,且可僅通過(亦即經由排氣裝置(未圖示)排出)負載鎖定腔室532,或在一些實施例中,可經由溫 度子單元765再循環回來。適當地加熱晶圓後,如本文所述可抽吸真空。例如,在移除真空後,可藉由例如引入冷卻氣體(冷卻之氮氣)完成冷卻。
第8圖圖示操作負載鎖定裝置(諸如批次負載鎖定裝置106)之方法800。在802處,方法800包括以下步驟:提供批次負載鎖定裝置(例如,批次負載鎖定裝置106),該批次負載鎖定裝置包括負載鎖定主體(例如負載鎖定主體530),該負載鎖定主體具有第一及第二負載鎖定開口(例如第一及第二負載鎖定開口538A、538B);升舉組件(例如升舉組件534),該升舉組件位於負載鎖定主體之內包括多個晶圓站(例如晶圓站536A-536G)。晶圓站536A-536G之一些或全部可包括溫度控制平臺(例如溫度控制平臺554)。
在804處,方法800包括以下步驟:移動升舉組件(例如升舉組件534、634、734)。經由主致動器(例如主致動器548,參看第5A-5E、6及7圖)之動作,藉由移動該升舉組件(例如升舉組件534、634、734)之基座(例如基座547)可完成上述移動之步驟,此舉同時將多個晶圓站(例如晶圓站536A-536G、736A-736F)移動至所要之垂直位置。此運動使所要的晶圓站之一或更多者(例如晶圓站536A-536G、736A-736F之一或更多者)對準負載鎖定開口(例如負載鎖定開口538A、538B)之一者,以便可將晶圓110移入或移出各別一或更多個晶圓站(例如晶圓站536A-536G、736A-736F)。
例如,如第5B第至圖5C圖所示,可單個地將晶圓 110從工廠界面腔室121移入及移出批次負載鎖定腔室106,或同時移送成組的或兩個、三個或四個或甚至更多個晶圓110。在圖示之實施例中,可同時將晶圓110載入晶圓站(若一次移送三個晶圓,在此情況下為晶圓站536B、536C及536D),或從晶圓站卸載(圖示兩個任選端效器125E2、125E3)。可再次移動升舉組件534,直至所有晶圓站(536A-536G)經裝載或清空。可自下至上進行載入。
在從工廠界面腔室121裝載批次負載鎖定裝置106的同時,可關閉及密封移送室102側上之狹縫閥門574A,以使得可在處理腔室(例如第1圖之旋轉料架112、第2圖及第3圖之處理腔室204A-204C或第4圖之旋轉料架412A、412B之一者)中進行處理,且可打開狹縫閥門574B。在正從移送室102裝載批次負載鎖定裝置106時,可關閉及密封狹縫閥574B,且可打開狹縫閥門574A。因此,如上所述,方法800包括以下步驟:經由第一及第二負載鎖定開口(例如第一及第二負載鎖定開口538A、538B),可將晶圓(例如晶圓110)接收在升舉組件(例如升舉組件534、734)內之多個晶圓站(例如晶圓站536A-536G或736A-736F)處的支撐堆疊(例如支撐堆疊540、740)上。
在808中,方法800可包括以下步驟:改變負載鎖定腔室(例如負載鎖定腔室532)中晶圓110之溫度。改變溫度之步驟可經由與溫度控制平臺(例如溫度控制平臺554、654)熱接觸,或另外主動加熱或冷卻晶圓110(諸如第7圖所描述)執行。在第5A圖至第6圖所描述之實施例中,藉由 使多個晶圓110與溫度控制平臺554熱接觸,可加熱多個晶圓110。在其他實施例中,藉由使晶圓110與溫度控制平臺654熱接觸,可冷卻多個晶圓110。
在待加熱或冷卻各晶圓110之實施例中,在載入晶圓110後,升舉組件534、634之支撐堆疊540可相對地移動至極接近溫度控制平臺554、654(亦即與溫度控制平臺554、654熱接觸)。熱接觸可為直接實體接觸或極為接近,以便允許溫度之實質性改變。此舉可經由次致動器549之動作,藉由移動支撐堆疊540完成,以引起支撐堆疊540與溫度控制堆疊542、652之間的相對運動。
操作之實例
以下為批次負載鎖定裝置106之操作實例。該示例性方法包括以下步驟:打開第一狹縫閥門574A或574B;移動升舉組件534之支撐堆疊540,以將晶圓站對準第一及第二負載鎖定開口之一者(538A或538B,此視從工廠界面121還是從移送室102載入而定);及將晶圓110載入支撐堆疊540。此可能要求升舉組件543移動一次或一次以上,以將所要數目之晶圓110載入支撐堆疊542。下一步,支撐堆疊540可相對於溫度控制堆疊542之溫度控制平臺554或654移動,以使晶圓110與溫度控制平臺554或654熱接觸。隨後將晶圓110加熱或冷卻至所要的溫度。加熱及冷卻時間及溫度將視溫度控制堆疊542、642之容許時間及功能而定。可達成晶圓110溫度100℃,或甚至高達300℃或更多之變化。一但經充分地加熱或冷卻,支撐堆疊540可相對於溫度控制平臺554 移動,以使晶圓110與溫度控制平臺554分開。第二狹縫閥門可打開,且可卸載晶圓110。在加熱情況下,第二狹縫閥門可為移送室之狹縫閥門,且可將晶圓移動至各別的處理腔室(例如104、104A-104C)進行處理。在冷卻情況下,在重新進入工廠界面時可冷卻晶圓,且將晶圓準備好直接載入晶圓載器122。
應按從上至下或從下至上之順序卸載/加載晶圓,以防止經處理之晶圓位於未經處理之晶圓之上。對於自工廠界面腔室121交換晶圓,應從上至下進行交換,進而首先交換經處理之晶圓。在從移送室102之側面進行交換之情況下,應從下至上進行交換。
從批次負載鎖定裝置106之交換的完整實例從批次負載鎖定裝置106中經處理之批次開始,批次負載鎖定裝置106上之批次已經冷卻完成,該交換之完整實例如下:1)打開工廠界面120側面上之狹縫閥門538B;2)將加載/卸載機器人125移入批次負載鎖定裝置,以移除已在升舉組件534之下晶圓站(例如536B、536C及536D)進行處理之晶圓110;3)使用加載/卸載機器人125將已經處理之晶圓110從批次負載鎖定裝置106移動至緩衝站(未圖示);4)使用加載/卸載機器人125將待處理之晶圓110從緩衝站移動至批次負載鎖定裝置106;5)歸位(index)晶圓升舉裝置534;6)重複步驟3)及步驟4); 7)關閉狹縫閥門538B;8)使用真空泵575抽吸達到所要的真空度(例如經由單一或雙平臺真空化至20托或更高的真空);9)打開狹縫閥門538A;10)使機器人108延伸至批次負載鎖定裝置106;11)使用機器人108移除晶圓110,且移送至處理腔室例如104、104A-C;12)再將經處理之晶圓110加載至批次負載鎖定裝置106中;13)繼續步驟11)及步驟12)直至如所需填滿批次負載鎖定裝置106(可自下而上進行交換);14)打開狹縫閥門538A;以及15)冷卻晶圓。
如前所述,藉由使晶圓110與溫度控制平臺534熱接觸可冷卻晶圓。冷卻可為主動冷卻,亦即,散熱速率比經由被動散熱片更快。
因此,儘管已結合本發明之示例性實施例揭示本發明,應瞭解,其他實施例可在以下申請專利範圍所界定的本發明之範疇內。

Claims (14)

  1. 一種批次負載鎖定裝置,該批次負載鎖定裝置包含:負載鎖定主體,該負載鎖定主體形成一負載鎖定腔室,該負載鎖定主體包括第一及第二負載鎖定開口;一升舉組件,該升舉組件經調適以在該負載鎖定腔室內部移動,該升舉組件包括一基座及一支撐堆疊,該基座在該負載鎖定主體內,該支撐堆疊包括一堆疊豎板及多個晶圓站,該等多個晶圓站沿著該堆疊豎板以隔開之垂直間隔設置並且附接於該堆疊豎板,該支撐堆疊可移動地耦接到該基座,該等多個晶圓站之每一者經調適以為晶圓提供穿過該第一及第二負載鎖定開口之通道;以及一溫度控制堆疊,該溫度控制堆疊耦接至該基座,且該溫度控制堆疊包括多個溫度控制平臺,該等多個溫度控制平臺以隔開之間隔設置於一分配豎板上,以在該負載鎖定腔室內提供晶圓溫度控制功能,其中該等多個晶圓站可一致地相對於該基座移動,以將晶圓一致地朝向及遠離該等多個溫度控制平臺移動,其中該等多個溫度控制平臺包括:1)主動加熱功能,或2)主動冷卻功能。
  2. 如請求項1所述之批次負載鎖定裝置,其中該升舉組件包含:一主致動器,該主致動器可經操作以升起及降下該基座。
  3. 如請求項1所述之批次負載鎖定裝置,其中該等晶圓站之一數目包含三個或更多個。
  4. 如請求項1所述之批次負載鎖定裝置,其中該等多個晶圓站之一數目大於或等於由該批次負載鎖定裝置服務之一晶圓處理系統中處理腔室之一數目。
  5. 如請求項1所述之批次負載鎖定裝置,其中該批次負載鎖定裝置包括加熱。
  6. 如請求項1所述之批次負載鎖定裝置,其中該批次負載鎖定裝置包括冷卻。
  7. 如請求項1所述之批次負載鎖定裝置,該批次負載鎖定裝置包含多個晶圓支撐件,該等多個晶圓支撐件經設置以將一晶圓支撐於該晶圓支撐件之指上。
  8. 如請求項1所述之批次負載鎖定裝置,該批次負載鎖定裝置包含一次致動器,該次致動器耦接至該基座且經操作以提供該支撐堆疊相對於該基座的運動。
  9. 一種操作一負載鎖定裝置之方法,該方法包含以下步驟:提供一批次負載鎖定裝置,該批次負載鎖定裝置包括一負載鎖定主體,該負載鎖定主體具有第一及第二負載鎖定開口及一升舉組件,該升舉組件經調適以在該負載鎖定主體內部移動,該升舉組件包括在該負載鎖定主體內的一基座,以及在該負載鎖定主體內的一支撐堆疊,該支撐堆疊包括一堆疊豎板及多個晶圓站,該等多個晶圓站沿著該堆疊豎板以隔開之垂直間隔設置並且附接於該堆疊豎板,該支撐堆疊可移動地耦接到該基座;提供一溫度控制堆疊,該溫度控制堆疊耦接至該基座,且該溫度控制堆疊包括多個溫度控制平臺,該等多個溫度控制平臺以隔開之間隔設置於一分配豎板上,其中該等多個溫度控制平臺包括:1)主動加熱功能,或2)主動冷卻功能;移動該升舉組件;經由該第一及第二負載鎖定開口之一者,在該升舉組件內部之該等多個晶圓站處接收一批次的晶圓;使該等多個晶圓站一致地相對於該基座移動,以將該等晶圓一致地朝向該等多個溫度控制平臺移動;以及改變該等晶圓之溫度。
  10. 如請求項9所述之方法,其中改變溫度之該步驟包含主動加熱該等晶圓。
  11. 如請求項9所述之方法,其中改變溫度之該步驟包含主動冷卻該等晶圓。
  12. 如請求項9所述之方法,其中移動該升舉組件之該步驟包含以一主致動器移動該基座,該主致動器可經操作以升起及降下該基座,以使該等多個晶圓站對準該第一及第二負載鎖定開口之一者。
  13. 如請求項9所述之方法,其中移動該升舉組件之該步驟包含以下步驟:移動該支撐堆疊以使該等多個晶圓站之每一者對準該第一及第二負載鎖定開口之一者;將該等晶圓接收於該支撐堆疊中;以及使該等晶圓與溫度控制平臺熱接觸。
  14. 如請求項9所述之方法,該方法進一步包含以下步驟:打開一第一狹縫閥門;移動該升舉組件之一支撐堆疊,以使該等多個晶圓站對準該第一及第二負載鎖定開口之一者;將該等晶圓載入該支撐堆疊;相對於溫度控制平臺一致地移動該支撐堆疊,以使該等晶圓與該等多個溫度控制平臺進行熱接觸;加熱或冷卻該等晶圓;相對於該等溫度控制平臺移動該支撐堆疊,以使該等晶圓與該等多個溫度控制平臺分離;打開一第二狹縫閥門;以及卸載該等晶圓。
TW103109730A 2013-03-15 2014-03-14 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法 TWI624897B (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201361787117P 2013-03-15 2013-03-15
US201361800595P 2013-03-15 2013-03-15
US61/800,595 2013-03-15
US61/787,117 2013-03-15
US201361868795P 2013-08-22 2013-08-22
US61/868,795 2013-08-22
US201361879076P 2013-09-17 2013-09-17
US61/879,076 2013-09-17

Publications (2)

Publication Number Publication Date
TW201448094A TW201448094A (zh) 2014-12-16
TWI624897B true TWI624897B (zh) 2018-05-21

Family

ID=51527658

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103109730A TWI624897B (zh) 2013-03-15 2014-03-14 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法

Country Status (3)

Country Link
US (3) US9378994B2 (zh)
TW (1) TWI624897B (zh)
WO (1) WO2014143846A1 (zh)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014143846A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc Multi-position batch load lock apparatus and systems and methods including same
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
US10510566B2 (en) 2015-07-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool techniques with improved efficiency
US10304707B2 (en) * 2015-10-20 2019-05-28 Lam Research Corporation Load lock interface and integrated post-processing module
US10014196B2 (en) 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US10325789B2 (en) 2016-01-21 2019-06-18 Applied Materials, Inc. High productivity soak anneal system
CN108780766B (zh) * 2016-03-08 2022-03-04 瑞士艾发科技 用于衬底脱气的室
US10099377B2 (en) 2016-06-29 2018-10-16 Applied Materials, Inc. Methods and systems providing misalignment correction in robots
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
JP2019537253A (ja) * 2016-10-18 2019-12-19 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 被加工物を処理するためのシステムおよび方法
US10796935B2 (en) 2017-03-17 2020-10-06 Applied Materials, Inc. Electronic device manufacturing systems, methods, and apparatus for heating substrates and reducing contamination in loadlocks
US10460922B2 (en) 2017-05-19 2019-10-29 Applied Materials, Inc. Method and apparatus for substrate transfer in a thermal treatment chamber
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
GB2570510A (en) * 2018-01-30 2019-07-31 Pragmatic Printing Ltd System and method for manufacturing plurality of integrated circuits
US10755955B2 (en) * 2018-02-12 2020-08-25 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11361981B2 (en) * 2018-05-02 2022-06-14 Applied Materials, Inc. Batch substrate support with warped substrate capability
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10943805B2 (en) 2018-05-18 2021-03-09 Applied Materials, Inc. Multi-blade robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
US10720353B2 (en) * 2018-07-04 2020-07-21 Murata Machinery, Ltd. Opener apparatus
KR20210022068A (ko) * 2018-07-17 2021-03-02 에이에스엠엘 네델란즈 비.브이. 입자 빔 검사 장치
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
CN110970344A (zh) * 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US20200126826A1 (en) * 2018-10-18 2020-04-23 Applied Materials, Inc. Load lock body portions, load lock apparatus, and methods for manufacturing the same
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
SG11202108920SA (en) * 2019-02-19 2021-09-29 Veeco Instr Inc Automated batch production thin film deposition systems and methods of using the same
JP2020145329A (ja) * 2019-03-07 2020-09-10 日新イオン機器株式会社 基板収容装置
CN114743854A (zh) 2019-05-14 2022-07-12 玛特森技术公司 末端执行器和用于处理工件的系统
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
WO2021055991A1 (en) * 2019-09-22 2021-03-25 Applied Materials, Inc. Multi-wafer volume single transfer chamber facet
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11557496B2 (en) * 2020-03-23 2023-01-17 Applied Materials, Inc. Load lock with integrated features
WO2021192001A1 (ja) * 2020-03-24 2021-09-30 株式会社日立ハイテク 真空処理装置
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11581203B2 (en) 2020-09-02 2023-02-14 Applied Materials, Inc. Systems for integrating load locks into a factory interface footprint space
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
CN117096071B (zh) * 2023-10-20 2024-01-23 上海谙邦半导体设备有限公司 一种晶圆真空锁系统

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US20120170999A1 (en) * 2009-08-29 2012-07-05 Tokyo Electron Limited Load lock device and processing system

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5607276A (en) * 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
US5789878A (en) 1996-07-15 1998-08-04 Applied Materials, Inc. Dual plane robot
US6722834B1 (en) 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
US6267549B1 (en) 1998-06-02 2001-07-31 Applied Materials, Inc. Dual independent robot blades with minimal offset
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6364592B1 (en) * 1999-12-01 2002-04-02 Brooks Automation, Inc. Small footprint carrier front end loader
US6582175B2 (en) 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
US6379095B1 (en) 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
WO2002004774A2 (en) 2000-07-07 2002-01-17 Applied Materials, Inc. Automatic door opener
JP5021112B2 (ja) * 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 真空処理装置
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US20060231388A1 (en) * 2005-04-14 2006-10-19 Ravi Mullapudi Multi-station sputtering and cleaning system
JP4619854B2 (ja) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US20080257260A9 (en) 2005-09-30 2008-10-23 Applied Materials, Inc. Batch wafer handling system
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7822324B2 (en) * 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
JP5139253B2 (ja) * 2008-12-18 2013-02-06 東京エレクトロン株式会社 真空処理装置及び真空搬送装置
US8777547B2 (en) 2009-01-11 2014-07-15 Applied Materials, Inc. Systems, apparatus and methods for transporting substrates
US8784033B2 (en) 2009-01-11 2014-07-22 Applied Materials, Inc. Robot systems, apparatus and methods for transporting substrates
JP5478280B2 (ja) * 2010-01-27 2014-04-23 東京エレクトロン株式会社 基板加熱装置および基板加熱方法、ならびに基板処理システム
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US9076829B2 (en) 2011-08-08 2015-07-07 Applied Materials, Inc. Robot systems, apparatus, and methods adapted to transport substrates in electronic device manufacturing
US9076830B2 (en) 2011-11-03 2015-07-07 Applied Materials, Inc. Robot systems and apparatus adapted to transport dual substrates in electronic device manufacturing with wrist drive motors mounted to upper arm
WO2013090181A1 (en) 2011-12-12 2013-06-20 Applied Materials, Inc Fully-independent robot systems, apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
JP6703937B2 (ja) 2013-03-15 2020-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板堆積システム、ロボット移送装置、及び電子デバイス製造のための方法
WO2014143846A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc Multi-position batch load lock apparatus and systems and methods including same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US20120170999A1 (en) * 2009-08-29 2012-07-05 Tokyo Electron Limited Load lock device and processing system

Also Published As

Publication number Publication date
TW201448094A (zh) 2014-12-16
US20160284578A1 (en) 2016-09-29
US20190198368A1 (en) 2019-06-27
US20140271054A1 (en) 2014-09-18
US9378994B2 (en) 2016-06-28
US10256125B2 (en) 2019-04-09
WO2014143846A1 (en) 2014-09-18
US10586720B2 (en) 2020-03-10

Similar Documents

Publication Publication Date Title
TWI624897B (zh) 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
US7371998B2 (en) Thermal wafer processor
JP6860531B2 (ja) 基板処理のための混合プラットフォームの装置、システム、及び方法
JP7125430B2 (ja) インデックス可能な側方収容ポッド装置、加熱側方収容ポッド装置、システム、及び方法
KR100493989B1 (ko) 레지스트처리시스템및레지스트처리방법
TWI685909B (zh) 用以移動晶圓之設備前端模組及方法
JP4719242B2 (ja) 基板処理装置
US20160035601A1 (en) Bake unit, substrate treating apparatus including the unit, and substrate treating method
TW200816350A (en) Substrate transportation and processing apparatus
TW201840880A (zh) 最佳化低能量/高生產率沉積系統
KR20160006630A (ko) 프로세스 챔버의 기판 업스트림 프리-베이킹 장치 및 방법
US8115142B2 (en) Plate, apparatus for adjusting temperature of substrate having the plate and apparatus for processing substrate having the plate
TW201804559A (zh) 基板處理方法及基板處理裝置
TW200807608A (en) Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US10160001B2 (en) Substrate treating apparatus
KR20150132506A (ko) 소형 배치 기판 핸들링 시스템을 위한 온도 제어 시스템 및 방법
JP2017101318A (ja) 高温蒸気供給システム及び方法
KR101940580B1 (ko) 로드록 챔버와, 그를 이용하여 기판을 처리하는 방법
CN106575619A (zh) 多基板热管理设备
US20080099181A1 (en) Method to cool a bake plate using an actively chilled transfer shuttle
KR101024356B1 (ko) 기판 코팅 유닛, 이를 갖는 기판 처리 장치 및 이를 이용한기판 처리 방법
CN105441876B (zh) 一种薄膜沉积设备
JP2001210691A (ja) マルチチャンバ型半導体製造装置
US11725272B2 (en) Method, system and apparatus for cooling a substrate
JP2004128383A (ja) 基板処理装置