KR20160006630A - 프로세스 챔버의 기판 업스트림 프리-베이킹 장치 및 방법 - Google Patents
프로세스 챔버의 기판 업스트림 프리-베이킹 장치 및 방법 Download PDFInfo
- Publication number
- KR20160006630A KR20160006630A KR1020150097114A KR20150097114A KR20160006630A KR 20160006630 A KR20160006630 A KR 20160006630A KR 1020150097114 A KR1020150097114 A KR 1020150097114A KR 20150097114 A KR20150097114 A KR 20150097114A KR 20160006630 A KR20160006630 A KR 20160006630A
- Authority
- KR
- South Korea
- Prior art keywords
- substrate
- chamber
- efem
- compartments
- foup
- Prior art date
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 93
- 238000000034 method Methods 0.000 title claims abstract description 76
- 230000008569 process Effects 0.000 title claims abstract description 49
- 238000011144 upstream manufacturing Methods 0.000 title claims abstract description 8
- 239000007789 gas Substances 0.000 claims abstract description 47
- 239000011261 inert gas Substances 0.000 claims abstract description 31
- 238000002347 injection Methods 0.000 claims abstract description 17
- 239000007924 injection Substances 0.000 claims abstract description 17
- 238000010438 heat treatment Methods 0.000 claims abstract description 15
- 238000007664 blowing Methods 0.000 claims abstract description 3
- 238000001816 cooling Methods 0.000 claims description 27
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 13
- 238000012545 processing Methods 0.000 claims description 13
- 238000003672 processing method Methods 0.000 claims description 3
- 235000012431 wafers Nutrition 0.000 description 34
- 239000010408 film Substances 0.000 description 11
- 238000006243 chemical reaction Methods 0.000 description 8
- 238000000151 deposition Methods 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- 238000005259 measurement Methods 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- 238000005530 etching Methods 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- 238000000926 separation method Methods 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 238000009529 body temperature measurement Methods 0.000 description 2
- 239000000969 carrier Substances 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 229910001873 dinitrogen Inorganic materials 0.000 description 2
- 239000000463 material Substances 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 238000004088 simulation Methods 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 229910018557 Si O Inorganic materials 0.000 description 1
- 125000003158 alcohol group Chemical group 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 230000003749 cleanliness Effects 0.000 description 1
- 238000004132 cross linking Methods 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 238000007872 degassing Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 238000013021 overheating Methods 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 230000000630 rising effect Effects 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Inorganic materials [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 238000007736 thin film deposition technique Methods 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- F—MECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
- F27—FURNACES; KILNS; OVENS; RETORTS
- F27B—FURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
- F27B17/00—Furnaces of a kind not covered by any preceding group
- F27B17/0016—Chamber type furnaces
- F27B17/0025—Especially adapted for treating semiconductor wafers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67763—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
- H01L21/67775—Docking arrangements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67763—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
- H01L21/67778—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
-
- F—MECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
- F27—FURNACES; KILNS; OVENS; RETORTS
- F27B—FURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
- F27B9/00—Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity
- F27B9/12—Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity with special arrangements for preheating or cooling the charge
- F27B2009/124—Cooling
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Mechanical Engineering (AREA)
- General Engineering & Computer Science (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Abstract
프로세스 툴의 기판 업스트림을 가열하기 위한 프리-베이킹 장치는 장비 전단부 모듈(equipment front end module, efem)에 연결되도록 부합되며, 챔버의 높이 방향으로 배열된 다중의 슬롯들을 가진 정면(front face)을 가지며, 다중의 슬롯들을 통하여 기판들을 로딩 및 언로딩하기 위하여 상기 다중의 슬롯들로부터 개별적으로 상기 챔버의 후단부(rear end)를 향하여 연장하는 다중의 컴파트먼트들(compartments)로 나누어지는 상기 챔버; 및 상기 챔버와 상기 프로세스 툴을 연결하는 연결 프레임을 포함한다. 다중의 컴파트먼트들은 분리판에 의해 서로 분리되고 상기 다중의 컴파트먼트들을 가열하기 위한 히터들을 구비하며, 그리고 각각의 컴파트먼트는 상기 슬롯을 향하여 그 내부에 위치한 기판 위로 핫 불활성 가스(hot inert gas)를 불어 넣기 위한 가스 인젝션 포트를 가진다.
Description
본 발명은 일반적으로 프로세스 챔버의 반도체 웨이퍼 업스트림과 같은 기판을 프리-베이크하는 장치 및 방법에 관한 것으로서, 프리-베이크는 레지스트 필름과 같은 필름에 대한 공정을 수행하기 전에 필름으로부터 수분을 제거하기 위하여 수행된다.
프로세스 챔버에 웨이퍼를 로딩한 후, 프로세스 챔버에서 상기 웨이퍼가 처리되기 전에 (예를 들어, 레지스트 필름을 포함하는 필름과 같은) 필름으로부터 수분 등을 제거하기 위하여, 상기 필름은 프리-베이크되고 가스가 제거된다. 프로세스 챔버의 종류(단일 웨이퍼 반응기 또는 배치(batch) 반응기)에 무관하게, 프로세스 챔버는 프리-베이크 및 가스 제거 공정에 사용되기 때문에, 프로세스 챔버에서 프리-베이크를 하고 가스를 제거하는데 소요되는 시간으로 인하여 웨이퍼 실행 속도(wafer run rate) 또는 스루풋(throughput)이 감소한다. 나아가, 상기 프로세스 챔버는 낮은 압력에서 컨트롤되고, 감소된 압력하에서 열전도율 및 열전달이 낮아지며, 감소된 압력하에서의 가열은 대기압하에서만큼 충분하지 못하기 때문에, 온도를 상승시키고 컨트롤하는데 더 많은 시간이 요구된다. 이는 또한 상기 웨이퍼 실행 속도 또는 상기 스루풋의 감소를 일으킨다.
본 발명의 해결하고자 하는 과제는 스루풋(throughput)을 감소시키지 않으면서 프리-베이크를 수행하는데 있다.
종래 기술에 관련된 과제와 해결에 관한 논의는 단지 본 발명에 대한 이해를 제공할 목적으로 본 명세서에 포함되어 있으며, 상기 논의의 일부 또는 전부가 본 발명이 완성된 시점에서 알려진 것으로 인정하는 것으로 간주되지 않아야만 한다.
반도체 자동화의 핵심은 장비 전단부 모듈(equipment front end module, EFEM)를 이용하여 제품(예를 들어 실리콘 웨이퍼 또는 쿼츠(quartz) 포토-마스크)를 울트라-클린(ultra-clean) 스토리지 캐리어와 다양한 프로세스 툴들(process tools)(예를 들어, 프로세싱 챔버, 계측(measurement) 챔버, 또는 테스팅 챔버들) 사이에서 이송하는 것이다. 위에서 언급된 적어도 하나의 문제들을 해결하기 위한 일부 실시예들에서, 프리-베이크는 프로세스 챔버 내에서가 아닌, 주로 대기압 하의 EFEM 영역 내에서 실질적으로 수행된다. 일부 실시예들에서, 프리-베이킹 스테이션은 EFEM 영역 내의 소형 환경(mini environment) 내에 제공된다. 일부 실시예들에서, 프리-베이킹 스테이션은 웨이퍼 냉각 스테이지 위치가 아닌 곳에 BOLTS 인터페이스(Box Opener/Loader-to-Tool Standard Interface, BOLTS interface; 기계적 인터페이스)를 가지고, 따라서 프리-베이킹 스테이션은 모든 툴(tool)들에서 일반적으로 장착될 수 있다. 선택적으로, 시스템이 냉각 스테이지를 필요로 하지 않는다면, 프리-베이킹 스테이션은 웨이퍼 냉각 스테이지를 대신하여 웨이퍼 냉각 스테이지 위치에 장착될 수 있다. 일부 실시예들에서, 웨이퍼 실행 속도(wafer run rate)를 향상시키기 위하여, 프리-베이킹 스테이션 내에 다단(multi-stage) 가열 슬롯들을 제공함으로써 온도 상승 시간(heating up period)을 줄일 수 있으며, 각각의 슬롯들에 저장된 다중의 웨이퍼들은 동시에 가열된다. 일부 실시예들에서, 또한 웨이퍼들을 효율적으로 가열하여 웨이퍼들을 가열하는데 걸리는 시간을 단축하고 가스 제거를 촉진하기 위하여, 핫 질소(hot nitrogen) 인젝션 포트들이 프리-베이킹 스테이션에 제공될 수 있으며, 프리-베이크 스테이션 내에 저장된 웨이퍼들을 따라 상기 핫 질소 가스를 분사한다. 일부 실시예들에서, 웨이퍼가 가열 매체(media)(예를 들어 가열된 알루미늄 플레이트) 사이에 끼워넣어지거나 삽입되어 웨이퍼는 그 상부 및 하부로부터 가열되고, 그에 따라 일반적인 멀티-슬롯-타입 오븐의 가열 설비에 비해 상기 온도 상승 시간을 단축시킬 수 있다.
본 발명의 측면들 및 종래 기술을 넘어 달성된 이점들을 요약하기 위한 목적으로, 본 발명의 특정한 목적들이나 이점들이 본 명세서에 설명된다. 물론, 그러한 모든 목적이나 이점들이 반드시 본 발명의 특정 실시예에 따라 달성될 수는 없는 것으로 이해되어야 한다. 그러므로, 예를 들어, 당업자는 본원에 교시되거나 제안된 다른 목적이나 이점들을 반드시 달성하지 않더라도, 여기에 교시된 하나의 이점이나 많은 이점들을 이루거나 개량하는 방법으로 본 발명을 구체화하거나 수행할 수 있음을 인식할 것이다.
본 발명의 또 다른 측면들, 특징들 및 이점들은 후술할 상세한 설명으로부터 명백해 질 것이다.
본 발명의 이러한 특징들 및 다른 특징들은 바람직한 실시예들의 도면을 참조하여 설명될 것이며, 이는 설명을 위한 것이고 본 발명을 한정하는 것은 아니다. 도면들은 설명적인 목적으로 매우 단순화되며, 치수는 반드시 비례하는 것은 아니다.
도 1은 프로세스 툴의 EFEM 영역을 나타내는 개략도이다.
도 2a는 본 발명의 일 실시예에 따른 프리-베이킹 장치의 후방측(rear side) 사시 시점의 사진이다.
도 2b는 도 2a에 나타낸 프리-베이킹 장치의 전방측(front side) 사시 시점의 사진이다.
도 2c는 도 2b에 나타낸 프리-베이킹 장치의 챔버의 정면 시점의 사진이다.
도 3은 본 발명의 일 실시예에 따른 EFEM 영역 일부의 개략적인 사시도이며, 프리-베이킹 장치는 커버를 투시하여 도시되고, 프리-베이킹 장치에 제공된 모든 제어 장치는 생략된다.
도 4는 본 발명의 일 실시예에 따른 (a)프리-베이킹 장치의 챔버를 개략적으로 나타내고, (b) 상기 프리-베이킹 장치의 챔버를 구성하는 컴파트먼트을 개략적으로 나타내는 도면이다.
도 5는 본 발명의 일 실시예에 따른 (a)프리-베이킹 장치의 챔버의 개략적인 단면도이고, (b) (a)에 표시된 사각형으로 둘러싸인 영역을 나타내는 개략적인 확대 단면도이다.
도 6은 본 발명의 일 실시예에 따른 전면 개방 일체형 포드(front opening unified pod, FOUP)로부터 시작하여 FOUP에서 종료되는 기판 처리 방법의 절차를 나타내는 순서도이다.
도 7은 본 발명의 일 실시예에 따른 기판 상의 온도 측정 지점을 나타내는 컴파트먼트의 평면도이다.
도 8은 본 발명의 일 실시예에 따른 상이한 컴파트먼트들의 측정 지점의 온도를 나타내는 그래프이다.
도 1은 프로세스 툴의 EFEM 영역을 나타내는 개략도이다.
도 2a는 본 발명의 일 실시예에 따른 프리-베이킹 장치의 후방측(rear side) 사시 시점의 사진이다.
도 2b는 도 2a에 나타낸 프리-베이킹 장치의 전방측(front side) 사시 시점의 사진이다.
도 2c는 도 2b에 나타낸 프리-베이킹 장치의 챔버의 정면 시점의 사진이다.
도 3은 본 발명의 일 실시예에 따른 EFEM 영역 일부의 개략적인 사시도이며, 프리-베이킹 장치는 커버를 투시하여 도시되고, 프리-베이킹 장치에 제공된 모든 제어 장치는 생략된다.
도 4는 본 발명의 일 실시예에 따른 (a)프리-베이킹 장치의 챔버를 개략적으로 나타내고, (b) 상기 프리-베이킹 장치의 챔버를 구성하는 컴파트먼트을 개략적으로 나타내는 도면이다.
도 5는 본 발명의 일 실시예에 따른 (a)프리-베이킹 장치의 챔버의 개략적인 단면도이고, (b) (a)에 표시된 사각형으로 둘러싸인 영역을 나타내는 개략적인 확대 단면도이다.
도 6은 본 발명의 일 실시예에 따른 전면 개방 일체형 포드(front opening unified pod, FOUP)로부터 시작하여 FOUP에서 종료되는 기판 처리 방법의 절차를 나타내는 순서도이다.
도 7은 본 발명의 일 실시예에 따른 기판 상의 온도 측정 지점을 나타내는 컴파트먼트의 평면도이다.
도 8은 본 발명의 일 실시예에 따른 상이한 컴파트먼트들의 측정 지점의 온도를 나타내는 그래프이다.
본 명세서에서, "가스"는 기화된 고체 및/또는 액체를 포함할 수 있으며, 단일의 가스 또는 가스 혼합물로 구성될 수 있다. 마찬가지로, 단수 표현("a" 또는 "an")은 복수의 종(species)을 포함하는 속(genus) 또는 종(species)을 의미한다. 나아가, 본 명세서에서, 변수의 임의의 두 숫자는 그 변수의 실행가능한 범위를 구성할 수 있고, 상기 실행가능한 범위는 통상적인 작업에 기초하여 결정되며, 표시된 모든 범위는 종점들을 포함하거나 제외할 수 있다. 또한, 표시된 변수의 임의의 값들은("약"으로 표시되었는지 여부와 무관하게) 정확한 값이나 대략적인 값을 의미할 수 있으며 균등물을 포함할 수 있고, 일부 실시예들에서 평균, 중앙값, 대표값, 다수값 등을 의미할 수 있다. 본 실시예들에서 "구성되는(constituted by)"이라는 용어는 "포함하는(comprising)", "필수적으로 구성되는(consisting essentially of)" 또는 "구성되는(consisting of)"를 의미한다. 일부 실시예들에서 "연결되는(connected)"이라는 용어는 중간에 다른 구성요소 없이 직접적으로 연결되거나 중간에 다른 구성요소가 존재하여 간접적으로 연결되는 것을 의미하며, 물리적인, 전기적인, 기능적인 연결을 포함한다. 본 명세서에서, 일부 실시예들에서 정의된 의미가 반드시 일반적이고 통상적인 의미를 배제하는 것은 아니다.
본 발명의 명세서 내의 조건 및/또는 구조들이 특정되지 않은 경우, 통상의 기술자는 통상적인 실험 과제로서 본 발명의 명세서를 고려하여 상기 조건 및/또는 구조들을 용이하게 제공할 수 있을 것이다.
본 명세서에 개시된 모든 실시예들에서, 실시예에 사용된 임의의 구성요소는 의도된 목적을 위하여 균등물로 대체될 수 있으며, 균등물은 명시적으로, 필수적으로, 또는 내재적으로 본원에 개시된 요소들을 포함한다. 나아가 본 발명은 장치들과 방법들에 동등하게 적용될 수 있다.
본 명세서에서, "프리-베이크"는 기판의 타겟 프로세스를 위한 예비적 단계로서 기판에 형성된 필름으로부터 수분을 제거하거나, 기판에 형성된 필름의 가스를 제거(원치 않거나 또는 과잉된 가스를 제거)하는 등을 위하여, 프로세스 툴의 업스트림에 행해지며, 프로세스 챔버 내에서 후속되는 기판의 타겟 프로세스에 앞서 행해지는 프로세스를 의미한다. 일반적으로, "경화(curing)"와는 다르게 "프리-베이크"는 화학 반응(예를 들어 중합(polymerization)이나 가교(crosslinking))를 실질적으로 수반하지 않으며, 그리고 "어닐링"과는 다르게 "프리-베이크"는 (예를 들어, 알코올기(alcohol group) 및 히드록시기(hydroxyl group)를 Si-Me 기로 교체, 또는 Si-O 결합 형성과 같은) 말단기(terminal group)의 대체 또는 형성을 수반하지 않는다.
본 발명의 일 실시예는, 프로세스 툴의 기판 업스트림을 가열하기 위한 프리-베이킹 장치를 제공하며, 상기 프리-베이킹 장치는 (i) 높이 방향으로 배열된 다중의 슬롯들을 가진 정면(front face)을 갖는 챔버로서, 각 슬롯은 좌우로(from side to side)으로 연장하고, 상기 다중의 슬롯들을 통하여 기판을 로딩하거나 언로딩하기 위하여 상기 다중의 슬롯들로부터 상기 챔버의 후단부(rear end)를 향하여 연장된 다중의 컴파트먼트들로 나누어지며, 상기 다중의 컴파트먼트들은 서로 분리판로 분리되고 상기 다중의 컴파트먼트들을 가열시키는 히터들을 구비하며, 각 컴파트먼트은 상기 슬롯을 향하여 핫 불활성 가스(hot inert gas)를 그 내부에 위치한 상기 기판으로 흘려보내는 가스 인젝션 포트를 가지는 상기 챔버; 및 (ii) 상기 챔버와 프로세스 툴을 연결하기 위한 연결 프레임을 포함한다. 위와 같은 구성에 따라, 효율적으로 프리-베이크가 수행될 수 있다.
일부 실시예들에서, 연결 프레임은 프로세스 툴에 연결된 장비 전단부 모듈(equipment front end module, EFEM)에 챔버를 연결하도록 배치된다. EFEM에 프리-베이킹 장치를 장착함으로써, 웨이퍼 실행 속도(wafer run rate;시간 당 프로세스되는 웨이퍼의 수) 및 스루풋(throughput)이 상당히 향상될 수 있으며, 이는 프리-베이킹 장치의 특정한 구성, 및 프리-베이크가 메인 프로세스 시퀀스와 병행하여 수행될 수 있고(상기 프리-베이크 프로세스는 다양한 챔버들에 웨이퍼들을 동시에 로딩하는 캐스케이드(cascade) 로딩 패턴에 적용가능), 또한 프리-베이크가 표준 대기압 하에서 행해질 수 있는 점에서 기인한다. 일부 실시예들에서, 연결 프레임은 로드 포트를 EFEM에 기계적으로 부착하기 위하여 인터페이스에 부착될 구조물을 가진다. 일부 실시예들에서, 상기 프리-베이킹 장치는 모듈화되어 EFEM과 독립적으로 작동 가능하다. 일부 실시예들에서, 상기 프리-베이킹 장치는 컨트롤 유닛을 더 포함하고, 상기 컨트롤 유닛은 가스 인젝션 포트들의 업스트림에서 불활성 가스를 가열하고 상기 핫 불활성 가스로서 가열된 불활성 가스를 상기 가스 인젝션 포트들로 공급하기 위한 가스 공급 시스템을 포함한다. 일부 실시예들에서, 상기 컨트롤 유닛은 상기 챔버 아래에 배치되며, 상기 컨트롤 유닛의 하부에 바퀴를 가진다. 일부 실시예들에서, 상기 챔버는 상기 히터들과 상기 핫 불활성 가스에 의한 프리-베이킹 외에는 기판을 처리할 수 없다. 따라서, 상기 프리-베이킹 장치는 EFEM에 연결되도록 촉진되며, 쉽게 EFEM에 장착될 수 있다.
본 명세서에서, “EFEM”은, 예를 들어, 울트라-클린(ultra-clean) 스토리지 캐리어들과 다양한 프로세싱, 계측(measurement) 및 테스팅 시스템 사이에서 제품(실리콘 웨이퍼 또는 쿼츠 포토-마스크)을 이송하기 위한 반도체 자동화에 사용되는 모듈 또는 섹션이고, 예를 들면 제품를 언로드하기 위해 필요한 컴포넌트를 포함하고, 예를 들면 프로세싱을 위한 패런트 툴(parent tool)로 제품를 전송하고, 완성된 제품를 캐리어로 반송한다. 상기 프로세싱은 플라즈마-조력되거나, 열적 또는 라디칼 프로세싱 등(예를 들어, 플라즈마-강화 화학 기상 증착(plasma-enhanced CVD), 열적 화학 기상 증착(thermal CVD), 순환 화학 기상 증착(cyclic CVD), 플라즈마-강화 원자층 증착(plasma-enhanced ALD), 열적 원자층 증착(thermal ALD), 라디칼-강화 원자층 증착(radical-enhanced ALD), 그 외 다른 박막 증착 방법들)에 의한 박막 증착, 에칭, 에싱, 경화(curing) 등을 포함하나, 이에 한정하지 않는다. 일부 실시예들에서, EFEM은 청정실 내부의 부분으로서, 청정실 내 다른 부분보다 높은 수준의 청정도(cleanliness)를 갖는 소형 환경을 가진다. 상기 소형 환경 대개 물리적인 벽(physical barrier)에 의해 분리되고, 상기 청정실에 통합되거나, 하드 월(hard wall) 또는 소프트 월(soft wall)로 분리되거나, 또는 기존의 더 큰 청정실 내부의 모듈화된 청정실일 수 있다.
일부 실시예들에서, 각각의 컴파트먼트은 그 위에 기판을 위치시키는 기판 서포트를 구비하며, 핫 불활성 가스가 기판의 상측 및 반대측 양측 위로 흐르도록 한다. 기판의 양면이 가열되기 때문에, 기판은 효율적으로 가열될 수 있다. 일부 실시예들에서, 프리-베이크의 지속 시간은 종래의 오븐이 360초인데 비하여 약 240초에서 약 300초일 수 있다(일반적으로 상부 슬롯들은 하부 슬롯들보다 적은 시간이 요구된다).
일부 실시예들에서, 각각의 컴파트먼트은 인접하는 상부 및 하부 분리판, 측벽들(side walls), 및 상기 슬롯과 대향하는 후벽(rear wall)에 의해 정의되며, 상기 가스 인젝션 포트는 상기 후벽의 중심에 배치된다. 상기 디바이더 프레이트는 열을 방출하는 가열 매체(medium)로 기능할 수 있으며, 가열 효율을 증가시킨다. 일부 실시예들에서, 다중의 컴파트먼트들은 측벽들과 후벽을 통하여 높이 방향으로 형성된 다중의 홀들을 포함하며, 각 홀에는 카트리지 히터가 삽입된다. 일부 실시예들에서, 상기 측벽들을 통하여 형성된 상기 홀들은 상기 측벽들의 상기 정면에 만 배치된다. 일부 실시예들에서, 프리-베이킹 장치는 상기 챔버의 높이 방향으로 연장하는 가스 매니폴드(gas manifold)를 더 포함하며, 핫 불활성 가스는 상기 가스 매니폴드로부터 각각의 가스 인젝션 포트를 통하여 각각의 컴파트먼트으로 공급된다. 일부 실시예들에서, 상기 불활성 가스는 가스 매니폴드로 공급되기 전에 열 교환기를 지나면서 가열된다. 상기 열 교환기는 상기 프리-베이킹 장치의 컨트롤 유닛에 장착될 수 있다.
일부 실시예들에서, 기판이 효율적으로 가열될 수 있도록 상기 컴파트먼트은 완전히 상기 컴파트먼트 내부에 기판을 위치시키기에 충분한 깊이를 갖는다.
일부 실시예들에서, 상기 프리-베이킹 장치는 챔버의 하부에 배치되고 상기 정면에 수직 방향으로 돌출되는 배기 덕트를 더 포함하며, 상기 배기 덕트를 통하여 다중의 슬롯들로부터 유출되는 상기 핫 불활성 가스를 방출한다.
본 발명의 또 다른 실시예는 기판을 처리하는 프로세스 툴을 위한 장비 전단부 모듈(equipment front end module, EFEM) 영역을 제공하며, 상기 EFEM 영역은 (a) 청정실보다 더 청정도 높은 부분으로서 프로세스 툴과 연결되도록 구성된 소형 환경(minienvironment)으로서, 미처리된 기판과 처리된 기판을 수용하기 위하여 전면 개방 일체형 포드(front opening unified pod, FOUP)를 갖고 적어도 하나의 로드 포트를 기계적으로 부착시키기 위한 인터페이스들 및 상기 FOUP 내에 처리된 기판들을 수용하기 이전에 기판들을 냉각시키기 위한 냉각 스테이션을 가지는 상기 소형 환경; 및 (b) 상기 인터페이스들 중의 하나와 기계적으로 부착된 FOUP를 갖는 로드 포트; 및 (c) 상기 인터페이스들의 또 다른 어느 하나와 기계적으로 부착되는 앞서 언급된 적어도 하나의 프리-베이킹 장치를 포함한다.
일부 실시예들에서, 상기 인터페이스들은 각각 FOUP을 갖는 하나의 로드 포트를 상기 소형 환경에 기계적으로 부착시키기 위한 2 이상의 인터페이스들 및 냉각 스테이션을 상기 소형 환경에 기계적으로 부착시키기 위한 하나의 인터페이스를 포함하고, 상기 로드 포트는 상기 2 이상의 인터페이스들의 하나에 부착되고, 상기 프리-베이킹 장치는 상기 2 이상의 인터페이스들의 또 다른 하나에 부착되며, 상기 EFEM 영역은 냉각 스테이션을 위한 인터페이스에 부착되는 냉각 스테이션을 더 포함한다.
본 발명의 또 다른 실시예는 앞서 언급된 EFEM 영역 중 어느 하나를 갖는 프로세스 툴을 이용한 기판 처리 방법을 제공한다. 상기 방법은: (I) 기판을 상기 FOUP으로부터 상기 소형 환경으로 반출하는 단계; (II) 상기 기판을 프리-베이크하기 위하여 상기 프리-베이킹 장치 내로 상기 기판을 삽입하는 단계로서, 상기 프리-베이킹 장치의 상기 컴파트먼트들이 가열되고 핫 불활성 가스가 상기 컴파트먼트들을 통하여 상기 소형 환경으로 불어 넣어지는, 상기 기판을 삽입하는 단계; (III) 상기 프리-베이크된 기판을 상기 소형 환경을 거쳐서 상기 프로세스 툴 안으로 삽입하는 단계; (IV) 상기 프로세스 툴 내의 상기 프리-베이크된 기판을 처리하는 단계; 및 (V) 상기 처리된 기판을 상기 소형 환경을 거쳐서 상기 FOUP 또는 이와 다른 FOUP 내에 위치시키는 단계를 포함한다.
일부 실시예들에서, 냉각 스테이션은 상기 EFEM 영역에 부착되고, 상기 기판 처리 방법은 상기 처리된 기판을 상기 FOUP 또는 이와 다른 FOUP 내에 위치시키기 이전에 상기 냉각 스테이션에 상기 처리된 기판을 삽입하는 단계를 더 포함한다.
일부 실시예들에서, 상기 프리-베이킹 장치를 통하여 흐르는 상기 핫 불활성 가스는 약 10 slm 내지 약 40 slm(standard liter/minute) 사이의 유속으로 흐르며, 약 50℃ 내지 약 150℃ 사이로 가열된 N2 가스이다. 일부 실시예들에서, 상기 프리-베이킹 장치의 상기 컴파트먼트들은 약 50℃ 내지 약 150℃ 사이로 가열된다.
일부 실시예들에서, 프리-베이크는 아래 표 1에 나타낸 조건들 하에서 수행될 수 있다.
바람직(Preferable)한 조건 | 일반적(Typical)인 조건 | |
프리-베이크를 위해 설정된 챔버의 온도 | 75℃ 내지 130℃(가령, 포토레지스트의 번아웃(burnout)을 피하기 위함) | 100℃ |
프리-베이크를 위해 챔버 내부로 취입되는 가스의 타입 | 질소(N2), 아르곤(Ar), 헬륨(He), 네온(Ne) 등의 불활성 가스 | 질소(N2) |
프리-베이킹 가스의 유속 | 0 SLM 내지 50 SLM | 30 SLM |
프리-베이킹 가스의 온도 | 100℃내지 140℃ | 100℃ |
프리-베이킹 프로세스의 지속 시간 | 100 내지 350 초 (상부 슬롯들은 하부 슬롯보다 적은 시간이 요구됨) | 200 내지 300 초 |
프리-베이크 시 압력 | 1 atm 내지 1 atm + 3 Pa | 표준 대기압(Standard atmospheric pressure) |
본 실시예들은 바람직한 실시예들에 관하여 설명될 것이다. 그러나 본 발명은 바람직한 실시예들에 한정되는 것은 아니다.
도 1은 프로세스 툴의 일반적인 EFEM(equipment front end module) 영역을 나타내는 도면이다. 프로세스 툴(미도시)은 본 도면에서 이점 쇄선으로 도시되는 EFEM의 후방으로 제공된다. 상기 EFEM은 청정실보다 청정도 높은 부분인 소형 환경(3)을 구비하고, 상기 프로세스 툴과 연결되도록 배치된다. 상기 소형 환경은 처리되지 않은 기판들과 처리된 기판들을 수용하기 위하여 전면 개방 일체형 포드들(front opening unified pods, FOUPs)(미도시)을 갖는 로드 포트들(6)을 부착시키는 BOLTS 인터페이스들(Box Opener/Loader-to-Tool Standard Interfaces, BOLTS interfaces), 및 상기 FOUP들 내에 처리된 기판들을 수용하기 이전에 기판들을 냉각시키기 위한 냉각 스테이션(2)을 가진다. 개구부(1)를 마주보면서, 각각의 FOUP은 컨트롤 유닛(4)의 상부에 제공되는 테이블에 장착된다. 상기 소형 환경(3)은 그 내부로 접속하기 위한 도어(5)를 가진다. 본 발명은 다른 적합한 타입의 EFEM에도 적용될 수 있다. 본 실시예에서, EFEM에는 4개의 BOLTS 인터페이스들이 제공된다; 그러나, 상기 BOLTS 인터페이스들의 수는 제한되지 않으며 EFEM의 사이즈 등에 따라서 선택될 수 있다. 일부 실시예들에서, 상기 로드 포트들(6)의 하나는 BOLTS 인터페이스에 기계적으로 부착되는 프리-베이킹 스테이션과 교체된다. 상기 프리-베이킹 스테이션은 상기 EFEM의 BOLTS 인터페이스와 연결되기 적합하기 때문에, 단순히 상기 로드 포트와 교체됨으로써 다양한 타입의 EFEM에 부착될 수 있다.
상기 FOUP을 구성하는 물질의 내열온도(heat resistant temperature)에 따라서, 처리된 기판을 상기 FOUP에 로딩하기 전에 냉각시키기 위하여 냉각 스테이션이 설치된다. 상기 FOUP의 내열온도가 충분하다면, 상기 프리-베이킹 장치는 EFEM의 인터페이스에 부착된 냉각 스테이션(2)을 대신할 수 있다.
도 2a는 본 발명의 실시예에 따른 프리-베이킹 스테이션의 후방측(rear side) 사시도(청정실 측, "C/R 측(C/R Side)")의 사진이다. 도 2b는 도 2a에 도시된 프리-베이킹 스테이션의 전방측(front side) 사시도 ("EFEM 측(EFEM Side)")의 사진이다. 도 2c는 도 2b에 도시된 프리-베이킹 스테이션의 챔버의 정면도의 사진이다. 프리-베이킹 스테이션은 챔버(27)와 EFEM을 연결하는 연결 프레임(24)을 가지며, 연결 프레임(24)은 상기 EFEM의 BOLTS 인터페이스 내에 설치된다. 챔버(27)는 하우징(21) 내에 장착된다. 상기 프리-베이킹 스테이션은 컨트롤 유닛(22)의 바닥에 바퀴(29)를 구비하므로, 상기 프리-베이킹 스테이션은 상기 EFEM에 용이하게 장착될 수 있다. 상기 프리-베이킹 스테이션은 프리-베이킹 스테이션의 오퍼레이션(operation)을 컨트롤하기 위한 컨트롤 유닛(22)을 포함하며, 컨트롤 유닛(22)은 챔버(27) 아래에 배치되고, 예를 들어 온도 컨트롤러, 질량 흐름 컨트롤러 등을 포함한다. 컨트롤 유닛(22)은 모듈화되며, 이로써 EFEM으로부터 독립적일 수 있다(그러므로, 로드 포트와 마찬가지로, 프리-베이킹 스테이션의 장착에는 EFEM의 컨트롤 변경을 필요로 하지 않는다). 그러나, 컨트롤 유닛은 챔버(27)와는 별도로 제공되거나, 또는 EFEM에 포함될 수 있다. 챔버(27)는 챔버의 높이 방향으로 배열된 다중의 슬롯들(28)을 가지는 정면(front face)(23)을 가지며, 각각의 슬롯은 좌우로 연장한다. 프리-베이킹 스테이션은 챔버(27)의 바닥에 배치되고 정면(front face)(23)와 수직 방향으로 돌출되는 배기 덕트(26)를 더 포함하며, 다중의 슬롯들(28)로부터 유출되는 핫 불활성 가스는 배기 덕트(26)를 통하여 방출된다. 배기 덕트(26)는 슬릿 플레이트(25)를 가진다. 소형 환경 내에서, 불활성 가스는 지속적으로 0.8 m/s의 유속으로 하부로 흐르며, 이런 가스 흐름으로 인하여, 핫 불활성 가스 흐름은 하부를 향하여 흘러 쉽게 배기 덕트(26)로 들어가며 EFEM으로부터 방출된다.
도 3은 본 발명의 실시예에 따른 EFEM 영역의 일부분의 사시도이며, 프리-베이킹 스테이션은 하우징을 투시하여 도시되고 프리-베이킹 장치에 구비된 모든 제어 장비는 생략된다. 본 실시예에서, 소형 환경(34)은 프로세스 툴과 FOUP 사이에서 기판을 이송하는 로봇 암(35)을 구비한다. 상기 소형 환경(34)의 프로세스 툴 측(후방측)은 로드락 챔버(31)와 연결되는 인터페이스를 가진다. 또한 소형 환경(34)은 프로세스 툴 측과 수직한 측에 냉각 스테이션(38)을 구비한다. 로드 포트들(40)은 상기 프로세스 툴 측과 대향하는 소형 환경(34)의 전방측 상의 소형 환경(34)의 BOLTS 인터페이스(41)에 구비된다. 로드 포트(40)는 소형 환경(34)과 테이블(37) 상에 장착된 FOUP(미도시) 사이에서 기판을 이송하기 위하여 개구부(36)를 가진다. 본 실시예에서, 냉각 스테이션(38)의 옆에 위치하는 가장 오른쪽의 로드 포트는 프리-베이킹 스테이션(32)으로 대체된다. 프리-베이킹 스테이션은 테이블(39) 상에 배치된 챔버(33)를 포함하며, 하부 프레임(30)의 아래에 바퀴(미도시)를 구비한다. 프리-베이킹 스테이션은 챔버(33)와 소형 환경(34)을 연결하는 연결 프레임(42)을 가진다. 연결 프레임은 BOLTS 인터페이스(41)에 설치되며 밀폐될 수 있다. 소형 환경(34)과 FOUP 사이에서 로봇 암(35)을 이용하여 기판들을 이송하는 것과 마찬가지로, 기판들은 개구부(36)를 통하여 소형 환경(34)과 챔버(33) 사이에서 이송될 수 있다. 당해 기술 분야의 기술자는 장치가 하나 또는 그 이상의 컨트롤러(들)(미도시)를 포함하며, 상기 하나 또는 그 이상의 컨트롤러(들)는 기타 여기에서 수행되는 것으로 설명된 기판의 이송을 야기하도록 구성되는 것을 이해할 것이다. 상기 컨트롤러(들)는 다양한 히팅 시스템, 펌프, 로보틱스, 및 가스 흐름 컨트롤러 또는 밸브 또는 게이트와 서로 연결되며, 이는 당해 기술 분야의 기술자에 이해될 것이다.
일부 실시예에서, 프리-베이킹 스테이션은 모듈이기 때문에, EFEM에 쉽게 장착될 수 있으며, EFEM과 용이하게 연결할 수 있다. 그런데 일부 실시예들에서, FOUP은 오버헤드 운송차(overhead vehicle, OHV)를 이용하여 이송되어 로드 포트에 장착되거나 로드 포트로부터 제거되며, 로드 포트의 옆에 장착되었을 때 프리-베이킹 스테이션은 FOUP을 로드 포트에 로딩하거나 로드 포트로부터 언로딩하는 작업을 방해해서는 안되고, 따라서 프리-베이킹 스테이션은, FOUP과 프리-베이킹 스테이션 사이의 간격이 OHV를 이용하여 FOUP을 로드 포트에 로딩하거나 로드 포트로부터 언로딩하기 충분하도록 하는 치수들을 갖는다.
다른 실시예에서, 프리-베이킹 스테이션은 로드 포트와는 독립적으로 배치될 수 있고, 및/또는 소형 환경(34)의 구조가 허용하는 임의의 위치에 배치될 수 있다.
[0044]
도 4는 본 발명의 실시예에 따른 (a)프리-베이킹 스테이션의 챔버의 개략적 도면 및 (b)프리-베이킹 챔버를 구성하는 컴파트먼트(compartment)의 개략적 도면으로 구성된다. 상기 챔버는 상기 챔버의 높이 방향으로 배열된 다중의 슬롯들(55)을 가진 정면(front face)(56)를 가지며, 각 슬롯은 좌우로 연장되고, 상기 챔버는 상기 다중의 슬롯들(55)을 통하여 기판들(49)을 상기 다중의 컴파트먼트들로 로딩하거나..하고 그리고 상기 다중의 컴파트먼트들로부터 언로딩하기 위하여 상기 다중의 슬롯들(55)로부터, 개별적으로, 챔버의 후단부(rear end)를 향하여 연장하는 다중의 컴파트먼트들(57)로 나누어진다. 다중의 컴파트먼트들은 분리판(45)에 의해 서로 분리되며, 다중의 컴파트먼트들(57)을 가열하기 위한 히터들(48)을 구비한다(측벽들(53)과 후벽(52)은 높이 방향으로 그것을 통하여 형성된 다중의 홀들(50)을 가지며, 카트리지 히터(cartridge heater, 48)는 각 홀에 삽입된다). 일부 실시예들에서, 히터를 장착하기 위한 상기 측벽들을 통하여 형성된 상기 홀들(50)은 상기 측벽들의 상기 정면 근처에만 배치된다.
각각의 컴파트먼트(57)은 슬롯(55)을 향해 그 내부에 위치한 기판 위로 핫 불활성 가스를 불어 넣기 위한 가스 인젝션 포트(51)를 가진다. 각각의 컴파트먼트(57)는 인접된 상부 및 하부 분리판(45), 측벽들(53), 및 슬롯(55)과 대향하는 후벽(52)에 의하여 정의되며, 가스 인젝션 포트(51)는 후벽(52)의 중심에 배치된다. 본 도면에서, 도 4의 (b)에 도시된 분리판(45)은 측벽들(53) 및 분리판(45)의 위에 형성된 후벽(52)을 가지며, 반면 도 4의 (a)에 도시된 분리판(45)은 측벽들(53) 및 분리판(45) 아래에 형성된 후벽(52)을 가지며, 이들 중 어느 하나가 이용될 수 있다. 다만, (b)의 배치가 바람직하며 이는 그 위에 기판(49)을 지지하는 기판 서포트들(54)이 분리판(45)의 상부면 위의 측벽들(side walls)(53)의 내면들(inner faces) 위에 구비될 수 있기 때문이다. 각각의 컴파트먼트(57)는 상기 가스 인젝션 포트(51)로부터 분사된 핫 불활성 가스가 상기 기판의 상부측 및 반대측의 양측 모두 위로 흐르도록 할 수 있는 방식으로 상기 기판(49)이 위치하는 기판 서포트(54)가 제공된다. 분리판(45)은 측벽들(53) 및 후벽들(52)을 이용하여 서로의 위로 쉽게 장착될 수 있다. 분리판은 금속 재료(알루미늄, 스테인리스 스틸 등), 쿼츠(quartz), 세라믹 등과 같은 적절한 재료로 만들어질 수 있다.
본 실시예에서, 프리-베이킹 스테이션은 챔버의 높이 방향으로 연장하는 가스 매니폴드(47)를 더 포함하며, 핫 불활성 가스는 가스 매니폴드로부터 가스 라인(46)을 거쳐 각각의 가스 인젝션 포트(51)를 통하여 각각의 컴파트먼트(57)로 공급된다.
본 실시예에서, 상기 컴파트먼트(57)는 컴파트먼트(57) 내부에 기판(49)을 전부 위치시키는데 충분한 깊이를 가진다. 일부 실시예들에서, 컴파트먼트의 깊이는 기판의 지름보다 약 0% 내지 약 20% 사이만큼 더 길 수 있고, 컴파트먼트의 폭은 기판의 지름보다 약 10% 내지 약 30% 사이만큼 더 길 수 있고, 컴파트먼트의 높이는 약 8mm 내지 약 15mm 사이일 수 있다. 컴파트먼트들의 수는 한정되지 않지만, 생산성(productivity) 또는 스루풋을 향상시키기 위하여 8개 내지 19개 사이(일반적으로 12개 내지 16개 사이)일 수 있다. 컴파트먼트들의 수가 증가하면, 로봇의 Z축 폭(전단부 로봇(front end robot, FE RB)의 수직 방향 스트로크(stroke)) 및 전단부 로봇을 핸들링하는 시간이 증가될 필요가 있을 수 있으며, 이는 실행 속도(run rate, RR)에 영향을 준다. 나아가, 컴파트먼트들의 수는 BOLTS 인터페이스들의 사이즈를 넘어서 증가할 수 없다. 일부 실시예들에서, 1개 보다 많은 프리-베이킹 스테이션이 EFEM에 장착된다.
일부 실시예들에서, 챔버는 히터들과 핫 불활성 가스를 이용하여 프리-베이크하는 것 이외에는 기판을 처리하지 못하고, 오로지 프리-베이크만을 수행하도록 구성된다.
도 5는 본 발명의 실시예에 따른 (a) 프리-베이킹 스테이션의 챔버의 개략적 단면도와, (b) (a)에 도시된 사각형으로 둘러싸인 영역을 나타내는 개략적 확대 단면도이다. 각각의 컴파트먼트(62)는 후벽 중심에 가스 인젝션 포트로써 사각형 홀(64)을 가진다. 사각형 홀(64)은 노즐 홀(65)을 통해 가스 매니폴드인 버퍼 파이프(61)와 연결된다. 본 실시예에서, 하나의 사각형 홀이 있으나, 1개 보다 많은 사각형 홀이 후벽, 및/또는 양 측벽들에 제1 사각형 홀과 인접하여 제공될 수 있다. 본 실시예에서, 각 측면에서 사각형 홀의 사이즈는 약 3mm이나, 예를 들어, 약 1mm 내지 5mm 사이에서 선택될 수 있다. 또한 본 실시예에서, 상기 노즐 홀의 직경은 약 0.5mm이나, 예를 들어, 약 0.2mm 내지 1.0mm 사이에서 선택될 수 있으며, 노즐 홀의 직경은 사각형 홀의 각 측면보다 작을 수 있다. 상기 버퍼 파이프는 카트리지 히터들(63) 사이에 수직하게 배치된다((b)는 카트리지 히터들(63) 중 하나만을 도시한다).
도 6은 본 발명의 실시예에 따른 전면 개방 일체형 포드(front opening unified pod, FOUP)로부터 시작하여 FOUP로 종료되는 기판을 처리하는 절차를 나타내는 흐름도이다. 상기 절차는 FOUP로부터 소형 환경(minienvironment)으로 기판을 반출하고(S1); 기판을 프리-베이크하기 위한 프리-베이킹 스테이션("프리-베이킹 유닛"으로도 불림)에 기판을 삽입하고(S2); 프리-베이킹 스테이션의 컴파트먼트들은 가열되고 핫 불활성 가스는 상기 컴파트먼트들을 통하여 소형 환경(minienvironmen)로 흘러가며; 프리-베이크된 기판을 소형 환경(minienvironmen)에 부착된 로드락 챔버로 이송하며(S3); 상기 프리-베이크된 기판을 로드락 챔버로부터 로드락 챔버에 부착된 웨이퍼 핸들링 챔버(wafer handling chamber, WHC)로 이송하고(S4); 상기 반응 챔버에서 프리-베이크된 기판을 처리하기 위하여 상기 프리-베이크된 기판을 웨이퍼 핸들링 챔버로부터 반응 챔버로 이송시키고(S5); 상기 처리된 기판을 반응 챔버로부터 웨이퍼 핸들링 챔버로 이송시키고(S6); 상기 처리된 기판을 웨이퍼 핸들링 챔버로부터 로드락 챔버로 이송시키고(S7); 상기 처리된 기판을 소형 환경을 거쳐서 냉각 스테이션(“냉각 스테이지”로도 불리는)에 삽입하며(S8) 이때 냉각 스테이션은 소형 환경에 부착되고; 상기 냉각 처리된 기판을 소형 환경을 거쳐서 상기 FOUP 또는 다른 FOUP에 위치시키는 것(S9)을 포함한다.
일부 실시예에서, S2 단계에서, 프리-베이킹 장치를 통해 흐르는 핫 불활성 가스는 약 10 slm 내지 약 40slm(standard liter/minute) 사이의 유속으로 흐르는 질소(N2)가스이고, 약 50℃에서 약 150℃사이로 가열된다. 일부 실시예들에서, 프리-베이킹 장치의 컴파트먼트들은 약 50℃에서 약 150℃사이로 가열된다.
제 1
예
도 3에 도시된 소형 환경(minienvironment)에 부착되며, 도 2a 내지 도 2c, 도 4, 및 도 5에 도시된 프리-베이킹 스테이션의 내부의 온도 분포가 측정된다. 도 7은 기판(300-mm 웨이퍼) 상의 온도-측정 포지션들을 나타내는 컴파트먼트의 개략적인 평면도이다. 제1 카트리지 히터(77a)는 슬릿(전방 개구부)(76)에 인접한 각각의 측벽에 장착되고, 제2 카트리지 히터(77b)(첫번째 카트리지 히터보다 높은 열용량(heat capacity)를 가짐)는 각각의 측벽에 첫번째 카트리지 히터(77a)의 뒤쪽으로 장착되며, 제3 카트리지 히터(77c)(첫번째 카트리지 히터와 동일한 열용량(heat capacity)를 가짐)는 후벽에 질소(N2)가스 버퍼 파이프(75)의 측방향 양쪽에 장착된다. 카트리지 히터들의 설정 온도는 100℃이다. 가열된 질소 가스(상기 온도는 질소 열 교환기에서 100℃로 설정된다)는 사각형 노즐을 통해 30 SLM으로 후벽의 중심으로부터 컴파트먼트로 유입된다. 열전대(thermocouple, TC)(74)는 온도를 제어하기 위하여 후벽에 장착된다. 나아가 챔버는 후벽에 초과 온도(over-temperature) 열전대를 구비할 수 있고 따라서 과열이 감지되면, 시스템은 중지될 수 있다. 컴파트먼트에서 압력은 대기압(atmospheric pressure)이다. 웨이퍼는 컴파트먼트 내의 웨이퍼 서포트 상에 위치되고, 컴파트먼트의 치수들은 높이 11.5 mm, 폭 320 mm, 및 깊이 339 mm이다. 도 7에 도시된 웨이퍼 상의 제1 지점 내지 제9 지점의 온도는 기판이 로딩되고 240초에서 300초가 지난 후 측정된다(상부 슬롯은 하부 슬롯보다 설정 온도에 도달하는 시간이 적게 걸린다). 상기 온도 측정은 제1, 5, 9, 13, 18 및 19 슬롯 번호의 컴파트먼트들에서 수행된다.
도 8은 상기의 다른 컴파트먼트들의 측정 지점에서 온도를 나타내는 그래프이다. 도 8에서 볼 수 있듯이, 모든 측정 온도는 90.0℃ 내지 98.0℃ 사이이고, 즉, 면내 온도 차이 및 슬롯과 슬롯 간의 온도 차이는 상당히 낮고, 균등한 가열이 이루어진다.
제 2
예
실행 속도(run rate, RR)(스루풋: 시간당 프로세스된 웨이퍼의 수)는 제1 예에서 시뮬레이션으로 사용된 것과 실질적으로 유사한 시스템을 이용하여 평가된다. 시뮬레이션의 조건들은 다음과 같다:
로딩 패턴: 캐스케이드(cascade)(프로세스 동안, 하나의 FOUP으로부터, 웨이퍼를 전단부 로봇(front end robot, FE RB; 대기상의 로봇)으로 로딩하는 것, 웨이퍼를 로드락 챔버로 로딩하는 것, 웨이퍼를 후단부 로봇(back end robot, BE RB; 진공상의 로봇)으로 로딩하는 것, 웨이퍼를 다중의 반응 챔버들 각각으로 로딩하는 것이 동시에 수행된다)
프리-베이킹 스테이션의 슬롯의 수: 12;
프리-베이킹 스테이션에서 프리-베이크의 지속 시간: 200초;
플랫폼: 각각 2개의 반응기(매엽식 배치 프로세싱)를 가진 4개의 프로세스 모듈을 구비한 오각형의(Pentagonal) 웨이퍼 핸들링 챔버 및 2개의 로드락 챔버;
프리-베이크 시 동작(operation); 3가지 조건들(프리-베이크 하지 않음, 반응 챔버에서 프리-베이크하는 경우; EFEM에서 프리-베이크하는 경우); 및
퇴적된 필름의 두께: 2가지 조건 (100Å; 320 Å).
결과적인 실행 속도(RR)는 아래 표 2에 나타난다.
필름 두께 | 프리-베이크하지 않음 | 반응 챔버에서 프리-베이크하는 경우 | EFEM에서 프리-베이크하는 경우 |
100A | 191.2 | 79.7 | 160.9 |
320A | 102.2 | 58.5 | 102.2 |
표 2에서 나타나듯이, 상기 RR은 EFEM에서 프리-베이크가 수행됨에 따라 상당히 개선되나, 반응 챔버에서는 그러하지 않다. 상기 RR의 개선은 두꺼운 층 퇴적에서 더 두드러지며, 상기 프리-베이크는 상기 RR을 전혀 감소시키지 않는다. 그러나, 얇은 층 퇴적에서는, 비록 상기 RR은 개선되지만, 프리-베이크는 여전히 상기 RR을 감소시키며, 즉, 12개의 슬롯은 얇은 층 퇴적에는 충분하지 않은 것으로 나타난다.
아래 표 3에서 나타나듯이, 프리-베이킹 스테이션에 구비된 슬롯의 수를 증가시키거나, EFEM 내의 또 다른 프리-베이킹 스테이션을 이용함으로써, 얇은 층 퇴적에 있어서도 프리-베이킹 스테이션은 더 이상 상기 RR을 제한하는 요인이 아닐 것이다.
필름 두께 | 프리-베이크하지 않음 | 12개의 슬롯 | 16개의 슬롯 |
100A | 191.2 | 160.9 | 191.2 |
320A | 102.2 | 102.2 | 102.2 |
표 3에서 나타나듯이, 슬롯의 수를 증가함으로써, 상기 RR은 얇은 층 퇴적에서도 증가된다. 그러나, 슬롯의 수가 증가하면, 로봇의 Z축 폭(전단부 로봇의 수직 방향 스트로크(stroke)) 및 전단부 로봇을 핸들링하는 시간이 증가될 필요가 있을 수 있으며, 이는 상기 RR에 영향을 준다.
본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상을 변경하지 않고 여러 가지 다양한 형태로 실시될 수 있음을 이해할 것이다. 그러므로, 본 발명의 실시예들은 오로지 예시적인 것이며 본 발명의 범위를 한정하는 것이 아님이 분명하게 이해되어야 한다.
Claims (20)
- 프로세스 툴(prodess tool)의 기판 업스트림(upstream)을 가열하기 위한 프리-베이킹(pre-baking) 장치로서,
높이 방향으로 배열된 다중의 슬롯들을 가진 정면(front face)을 가지는 챔버로서, 각각의 슬롯은 좌우로 연장되고, 상기 챔버는 상기 다중의 슬롯들을 통하여 기판들을 다중의 컴파트먼트들(compartments)로 로딩 및 상기 다중의 컴파트먼트들로부터 언로딩하기 위하여 상기 다중의 슬롯들로부터 개별적으로 상기 챔버의 후단부(rear end)를 향하여 연장되는 상기 다중의 컴파트먼트들로 나누어지며, 상기 다중의 컴파트먼트들은 서로 분리판에 의해 분리되고 상기 다중의 컴파트먼트들을 가열시키는 히터들을 구비하며, 상기 각각의 컴파트먼트는 상기 슬롯을 향하여 핫 불활성 가스(hot inert gas)를 내부에 위치한 상기 기판 위로 불어 넣기 위한 가스 인젝션 포트를 가지는, 상기 챔버; 및
상기 챔버와 상기 프로세스 툴을 연결하기 위한 연결 프레임;을 포함하는 프리-베이킹 장치. - 제1 항에 있어서,
상기 연결 프레임은 상기 프로세스 툴에 연결된 EFEM(equipment front end module)에 상기 챔버를 연결하도록 구성된 것을 특징으로 프리-베이킹 장치. - 제2 항에 있어서,
상기 장치는 모듈화되어 상기 EFEM과 독립적으로 작동할 수 있는 것을 특징으로 하는 프리-베이킹 장치. - 제2 항에 있어서,
상기 연결 프레임은 로드 포트(load port)를 상기 EFEM에 기계적으로 부착시키기 위한 인터페이스에 부착된 구조물을 가지는 것을 특징으로 하는 프리-베이킹 장치. - 제1 항에 있어서,
상기 각각의 컴파트먼트는 기판을 그 위에 위치시키는 기판 서포트를 구비하며, 상기 핫 불활성 가스가 상기 기판의 상부측 및 반대측 양측으로 흐르도록 하는 것을 특징으로 하는 프리-베이킹 장치. - 제1 항에 있어서,
상기 각각의 컴파트먼트는 인접하는 상부 및 하부 분리판들, 측벽들, 및 상기 슬롯과 대향하는 후벽(rear wall)에 의해 정의되며, 상기 가스 인젝션 포트는 상기 후벽의 중심에 배치된 것을 특징으로 하는 프리-베이킹 장치. - 제6 항에 있어서,
상기 다중의 컴파트먼트들은 상기 측벽들 및 상기 후벽을 통하여 높이 방향으로 형성된 다중의 홀들을 가지며, 카트리지 히터가 상기 각각의 홀에 삽입되는 것을 특징으로 하는 프리-베이킹 장치. - 제7 항에 있어서,
상기 측벽들을 통하여 형성된 상기 홀들은 상기 측벽들의 상기 정면 근처에만 배치되는 것을 특징으로 하는 프리-베이킹 장치. - 제1 항에 있어서,
상기 컴파트먼트는 완전히 상기 컴파트먼트 내부에 상기 기판을 위치시키에 충분한 깊이를 가지는 것을 특징으로 하는 프리-베이킹 장치. - 제1 항에 있어서,
상기 챔버는 상기 히터들과 상기 핫 불활성 가스에 의한 프리-베이킹 외에는 상기 기판을 처리하지 못하는 것을 특징으로 하는 프리-베이킹 장치. - 제1 항에 있어서,
상기 챔버의 하부에 배치되고 상기 정면에 수직 방향으로 돌출되는 배기 덕트를 더 포함하며, 상기 배기 덕트를 통하여 상기 다중의 슬롯들로부터 유출되는 상기 핫 불활성 가스를 방출시키는 것을 특징으로 하는 프리-베이킹 장치. - 제1 항에 있어서,
상기 챔버의 상기 높이 방향으로 연장하는 가스 매니폴드를 더 포함하며, 상기 핫 불활성 가스는 상기 가스 매니폴드로부터 각각의 가스 인젝션 포트를 통하여 상기 각각의 컴파트먼트로 공급되는 것을 특징으로 하는 프리-베이킹 장치. - 제1 항에 있어서,
상기 가스 인젝션 포트들의 불활성 가스 업스트림을 가열하기 위한 가스 공급 시스템을 포함하고, 상기 핫 불활성 가스처럼 상기 가열된 불활성 가스를 상기 가스 인젝션 포트들로 공급하는 컨트롤 유닛을 더 포함하는 것을 특징으로 프리-베이킹 장치. - 제13 항에 있어서,
상기 컨트롤 유닛은 상기 챔버의 아래에 배치되고 상기 컨트롤 유닛의 바닥에 바퀴를 가지는 것을 특징으로 하는 프리-베이킹 장치. - 기판들을 처리하는 프로세스 툴을 위한 장비 전단부 모듈(equipment front end module; EFEM) 영역으로서,
청정실보다 더 청정도 높은 부분이며 상기 프로세스 툴에 연결되도록 구성된 소형 환경(minienvironment)으로서, 상기 소형 환경은 미처리된 기판들 및 처리된 기판들을 수용하기 위한 전면 개방 일체형 포드(front opening unified pod; FOUP)를 갖는 적어도 하나의 로드 포트에 기계적으로 부착하기 위한 인터페이스들 및 상기 처리된 기판들을 상기 FOUP 내에 수용하기 이전에 기판들을 냉각시키기 위한 냉각 스테이션을 가지는, 상기 소형 환경;
상기 인터페이스들 중 하나와 기계적으로 부착된 FOUP을 갖는 로드 포트; 및
상기 인터페이스들 중의 다른 것에 기계적으로 부착되는, 적어도 하나의 청구항 1의 프리-베이킹 장치;를 포함하는 장비 전단부 모듈(EFEM) 영역. - 제15 항에 있어서,
상기 인터페이스들은 각각 FOUP를 갖는 하나의 로드 포트를 상기 소형 환경에 기계적으로 부착시키기 위한 2개 이상의 인터페이스들 및 냉각 스테이션을 상기 소형 환경에 기계적으로 부착시키기 위한 하나의 인터페이스를 포함하고, 상기 로드 포트는 상기 2개 이상의 인터페이스들 중의 하나에 부착되고, 상기 프리-베이킹 장치는 상기 2개 이상의 인터페이스들 중의 다른 것에 부착되며, 상기 EFEM 영역은 냉각 스테이션을 위한 인터페이스에 부착되는 냉각 스테이션을 더 포함하는 것을 특징으로 하는 장비 전단부 모듈(EFEM) 영역. - 청구항 13의 EFEM 영역과 함께 프로세스 툴을 이용한 기판 처리 방법으로서,
기판을 상기 FOUP로부터 상기 소형 환경으로 반출하는 단계;
상기 기판을 프리-베이크하기 위하여 상기 프리-베이킹 장치 내로 상기 기판을 삽입하는 단계로서, 상기 프리-베이킹 장치의 상기 컴파트먼트들이 가열되고, 핫 불활성 가스가 상기 컴파트먼트들을 통하여 상기 소형 환경으로 불어 넣어지는, 상기 기판을 삽입하는 단계;
상기 프리-베이크된 기판을 상기 소형 환경를 거쳐서 상기 프로세스 툴 안으로 삽입하는 단계;
상기 프로세스 툴 내에서 상기 프리-베이크된 기판을 처리하는 단계; 및
상기 처리된 기판을 상기 소형 환경을 거쳐서 상기 FOUP 또는 다른 FOUP 내에 위치시키는 단계;를 포함하는 기판 처리 방법. - 제17 항에 있어서,
냉각 스테이션이 상기 EFEM 영역에 부착되고, 상기 기판 처리 방법은 상기 처리된 기판을 상기 FOUP 또는 다른 FOUP 내에 위치시키기 이전에 상기 냉각 스테이션에 상기 처리된 기판을 삽입하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법. - 제 17 항에 있어서,
상기 프리-베이킹 장치를 통하여 불어 넣어지는 상기 핫 불활성 가스는 약 10 slm 내지 약 40 slm(standard liter/minute) 사이의 유속으로 흐르며, 약 50℃ 내지 약 150℃ 사이로 가열된 질소(N2) 가스인 것을 특징으로 하는 기판 처리 방법. - 제 17 항에 있어서,
상기 프리-베이킹 장치의 상기 컴파트먼트들은 약 50℃ 내지 약 150℃ 사이로 가열되는 것을 특징으로 하는 기판 처리 방법.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/327,134 US9349620B2 (en) | 2014-07-09 | 2014-07-09 | Apparatus and method for pre-baking substrate upstream of process chamber |
US14/327,134 | 2014-07-09 |
Publications (1)
Publication Number | Publication Date |
---|---|
KR20160006630A true KR20160006630A (ko) | 2016-01-19 |
Family
ID=55068120
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020150097114A KR20160006630A (ko) | 2014-07-09 | 2015-07-08 | 프로세스 챔버의 기판 업스트림 프리-베이킹 장치 및 방법 |
Country Status (4)
Country | Link |
---|---|
US (1) | US9349620B2 (ko) |
JP (1) | JP2016018994A (ko) |
KR (1) | KR20160006630A (ko) |
TW (1) | TW201603166A (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10702819B2 (en) | 2017-11-27 | 2020-07-07 | Samsung Electronics Co., Ltd. | Air cleaner |
Families Citing this family (322)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9918594B2 (en) * | 2014-04-10 | 2018-03-20 | Alan J. ROBERTSON | Towel rack |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
JP6430870B2 (ja) * | 2015-03-20 | 2018-11-28 | 東京エレクトロン株式会社 | クランプ装置及びこれを用いた基板搬入出装置、並びに基板処理装置 |
KR101860631B1 (ko) * | 2015-04-30 | 2018-05-23 | 시바우라 메카트로닉스 가부시끼가이샤 | 기판 처리 장치 및 기판 처리 방법 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
CN105575859B (zh) * | 2016-03-04 | 2018-07-17 | 京东方科技集团股份有限公司 | 一种空气帘装置 |
JP6800237B2 (ja) * | 2016-03-08 | 2020-12-16 | エヴァテック・アーゲー | 基板を脱ガスするためのチャンバ |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
TWD188699S (zh) * | 2017-06-09 | 2018-02-21 | 香岳實業股份有限公司 | 垂直式加熱模組 |
US10566216B2 (en) * | 2017-06-09 | 2020-02-18 | Lam Research Corporation | Equipment front end module gas recirculation |
TWD188696S (zh) * | 2017-06-09 | 2018-02-21 | 香岳實業股份有限公司 | 通風道型加熱模組 |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
KR102423761B1 (ko) * | 2017-06-23 | 2022-07-20 | 어플라이드 머티어리얼스, 인코포레이티드 | 인덱서블 측면 저장 포드 장치, 가열식 측면 저장 포드 장치, 시스템들, 및 방법들 |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3094396A (en) * | 1959-07-07 | 1963-06-18 | Continental Can Co | Method of and apparatus for curing internal coatings on can bodies |
KR100304127B1 (ko) * | 1992-07-29 | 2001-11-30 | 이노마다 시게오 | 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치 |
JP2709568B2 (ja) * | 1994-06-30 | 1998-02-04 | 日本プレシジョン・サーキッツ株式会社 | ダウンフロー型スピンドライヤ |
US5852879A (en) * | 1995-04-26 | 1998-12-29 | Schumaier; Daniel R. | Moisture sensitive item drying appliance |
US5724748A (en) * | 1996-07-24 | 1998-03-10 | Brooks; Ray G. | Apparatus for packaging contaminant-sensitive articles and resulting package |
JP3230051B2 (ja) * | 1997-05-16 | 2001-11-19 | 東京エレクトロン株式会社 | 乾燥処理方法及びその装置 |
KR20010031714A (ko) * | 1997-11-03 | 2001-04-16 | 러셀 엔. 페어뱅크스, 쥬니어 | 수명이 긴 고온 공정 챔버 |
JP2963443B1 (ja) * | 1998-06-19 | 1999-10-18 | キヤノン販売株式会社 | 半導体装置の製造装置 |
US6760981B2 (en) * | 2002-01-18 | 2004-07-13 | Speedline Technologies, Inc. | Compact convection drying chamber for drying printed circuit boards and other electronic assemblies by enhanced evaporation |
US7223323B2 (en) * | 2002-07-24 | 2007-05-29 | Applied Materials, Inc. | Multi-chemistry plating system |
US6874247B1 (en) * | 2004-10-12 | 2005-04-05 | Tsang-Hung Hsu | Toothbrush dryer |
JP4762835B2 (ja) * | 2006-09-07 | 2011-08-31 | 東京エレクトロン株式会社 | 基板処理方法、基板処理装置、プログラムおよびプログラム記録媒体 |
KR101220076B1 (ko) * | 2006-12-08 | 2013-01-08 | 현대자동차주식회사 | 차량의 노우즈업 제어장치 |
JP5109376B2 (ja) * | 2007-01-22 | 2012-12-26 | 東京エレクトロン株式会社 | 加熱装置、加熱方法及び記憶媒体 |
JP2008192643A (ja) | 2007-01-31 | 2008-08-21 | Tokyo Electron Ltd | 基板処理装置 |
JP4805862B2 (ja) * | 2007-02-21 | 2011-11-02 | 富士通セミコンダクター株式会社 | 基板処理装置、基板処理方法、及び半導体装置の製造方法 |
KR100956247B1 (ko) * | 2007-12-13 | 2010-05-06 | 삼성엘이디 주식회사 | 금속유기 화학기상 증착장치 |
KR101017170B1 (ko) * | 2008-08-13 | 2011-02-25 | 주식회사 동부하이텍 | 백 메탈 공정챔버 |
US8328494B2 (en) | 2009-12-15 | 2012-12-11 | Varian Semiconductor Equipment Associates, Inc. | In vacuum optical wafer heater for cryogenic processing |
JP5735304B2 (ja) * | 2010-12-21 | 2015-06-17 | 株式会社日立国際電気 | 基板処理装置、基板の製造方法、半導体デバイスの製造方法およびガス供給管 |
WO2014039194A1 (en) * | 2012-09-07 | 2014-03-13 | Applied Materials, Inc. | Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation |
-
2014
- 2014-07-09 US US14/327,134 patent/US9349620B2/en active Active
-
2015
- 2015-05-15 TW TW104115532A patent/TW201603166A/zh unknown
- 2015-07-03 JP JP2015134506A patent/JP2016018994A/ja active Pending
- 2015-07-08 KR KR1020150097114A patent/KR20160006630A/ko unknown
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10702819B2 (en) | 2017-11-27 | 2020-07-07 | Samsung Electronics Co., Ltd. | Air cleaner |
US10967319B2 (en) | 2017-11-27 | 2021-04-06 | Samsung Electronics Co., Ltd. | Air cleaner |
Also Published As
Publication number | Publication date |
---|---|
JP2016018994A (ja) | 2016-02-01 |
US9349620B2 (en) | 2016-05-24 |
TW201603166A (zh) | 2016-01-16 |
US20160013084A1 (en) | 2016-01-14 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR20160006630A (ko) | 프로세스 챔버의 기판 업스트림 프리-베이킹 장치 및 방법 | |
KR101891292B1 (ko) | 로드락 배치 오존 경화 | |
US6780251B2 (en) | Substrate processing apparatus and method for fabricating semiconductor device | |
US7198447B2 (en) | Semiconductor device producing apparatus and producing method of semiconductor device | |
KR101138810B1 (ko) | 기판 처리 장치 | |
US20060245852A1 (en) | Load lock apparatus, load lock section, substrate processing system and substrate processing method | |
KR20180124726A (ko) | 할로겐 제거 모듈 및 연관된 시스템들 및 방법들 | |
US20200411335A1 (en) | Substrate Processing Apparatus and Method of Manufacturing Semiconductor Device | |
JP2012023073A (ja) | 基板処理装置および基板の製造方法 | |
JP2592511B2 (ja) | 縦型半導体製造システム | |
WO2016019120A1 (en) | Multi-substrate thermal management apparatus | |
KR20140069715A (ko) | 대면적 원자층 증착 장치 | |
JP6282983B2 (ja) | 基板処理装置 | |
TWI700764B (zh) | 裝載鎖定裝置中的基板冷卻方法、基板搬運方法及裝載鎖定裝置 | |
US20180190521A1 (en) | Substrate processing apparatus | |
JP7175201B2 (ja) | 処理装置 | |
TWI673815B (zh) | 基板處理系統、基板傳送裝置和傳送方法 | |
JP2004304116A (ja) | 基板処理装置 | |
JP2001250780A (ja) | 半導体製造装置におけるダミー基板の運用方法 | |
US10796935B2 (en) | Electronic device manufacturing systems, methods, and apparatus for heating substrates and reducing contamination in loadlocks | |
JP2004119627A (ja) | 半導体製造装置 | |
JP4115331B2 (ja) | 基板処理装置 | |
JP2015137415A (ja) | 大面積原子層蒸着装置 | |
JP2007250988A (ja) | 基板処理装置 | |
JP2012033619A (ja) | 基板処理装置及び基板搬送方法 |