KR101891292B1 - 로드락 배치 오존 경화 - Google Patents

로드락 배치 오존 경화 Download PDF

Info

Publication number
KR101891292B1
KR101891292B1 KR1020137001058A KR20137001058A KR101891292B1 KR 101891292 B1 KR101891292 B1 KR 101891292B1 KR 1020137001058 A KR1020137001058 A KR 1020137001058A KR 20137001058 A KR20137001058 A KR 20137001058A KR 101891292 B1 KR101891292 B1 KR 101891292B1
Authority
KR
South Korea
Prior art keywords
chamber
processing
wafers
gas
wafer
Prior art date
Application number
KR1020137001058A
Other languages
English (en)
Other versions
KR20130087502A (ko
Inventor
드미트리 루보미르스키
제이 디. 핀슨 엘엘
키르비 에이치. 플로이드
애딥 칸
산카르 벤카타라만
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130087502A publication Critical patent/KR20130087502A/ko
Application granted granted Critical
Publication of KR101891292B1 publication Critical patent/KR101891292B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

배치 모드에서 복수의 웨이퍼들을 처리하기 위한 기판 처리 챔버. 일 실시예에서, 챔버는 내부 칸막이에 의해 분리된 제 1 및 제 2 처리 영역들을 갖는 수직으로 정렬된 하우징 - 제 1 처리 영역은 상기 제 2 처리 영역 바로 위에 위치됨 - ; 서로 독립적인 제 1 및 제 2 처리 영역들을 가열하기 위해 하우징에 동작가능하게 결합된 멀티-구역 가열기; 처리 챔버 내에서 복수의 웨이퍼들을 유지하고 제 1 및 제 2 처리 영역들 사이에 수직으로 이동하도록 적응된 웨이퍼 이송부; 오존을 제 2 영역 안으로 도입하고 증기를 제 1 처리 영역 안으로 도입하도록 적응된 가스 분배 시스템; 및 제 1 및 제 2 처리 영역들 안으로 도입된 가스들을 배기하도록 구성된 가스 배기 시스템을 포함한다.

Description

로드락 배치 오존 경화{LOADLOCK BATCH OZONE CURE}
본 출원은 2010년 6월 16일자로 출원된 미국 가출원 제61/355,527호를 우선권으로 주장하고, 이는 모든 목적들을 위해 인용에 의해 본원에 포함된다.
반도체 장치 기하형상들은 그것들이 수십 년 전에 도입된 이래 크기에서 극적으로 감소되어 왔다. 현대의 반도체 제조 장비는 통상적으로 250 ㎚, 180 ㎚, 및 65 ㎚ 피쳐 크기들로 장치들을 생산하고, 새로운 장비는 심지어 더 작은 기하형상들을 갖는 장치들을 만들기 위해 개발 및 실시되고 있다. 피쳐 크기들의 감소는 감소된 공간 치수들을 갖는 장치 상의 구조적 피쳐들을 초래한다. 감소된 치수들은, 결과적으로, 매우 낮은 비저항을 갖는 도전성 물질들 및 매우 낮은 유전 상수를 갖는 절연 물질들의 사용을 필요로 한다.
낮은 유전 상수 막들은 상호연결 금속화(metallization)의 RC 시간 지연을 감소시키기 위해, 금속화의 상이한 레벨들 사이의 누화를 방지하기 위해, 그리고 디바이스 전력 소모를 감소시키기 위해, PMD(premetal dielectric) 층들 및 IMD(intermetal dielectric) 층들에 대해 특히 바람직하다. 초기의 CVD 기법들을 사용하여 증착된 언도핑된(undoped) 실리콘 산화막들은 일반적으로 4.0 내지 4.2의 범위 내에 유전 상수(k)를 가졌다. 그에 반하여, 반도체 산업에서 이제 일반적으로 사용되는 다양한 -탄소-기반 유전체 층들은 3.0 미만의 유전 상수들을 갖는다. 이러한 탄소-기반 층들의 대부분은 처음 증착시 상대적으로 불안정하고, 막들의 안정성을 증가시키기 위해 그 후에 산소 환경에서 경화 및/또는 어닐링된다.
본 발명의 실시예들은 일군의 웨이퍼들을 동시에 경화하도록 적응된 챔버에 관한 것이다. 챔버는 복수의 기판들을 지지하는 웨이퍼 이송부에 의해 각각 제공되는 제 1 및 제 2 배치 처리 영역들을 포함하고, 각각은 병렬 적층으로 배열된 전용 웨이퍼 지지체들 상에 위치된다. 일 실시예에서, 제 1 배치 처리 영역은 제 2 배치 처리 영역 바로 아래에 있고, 웨이퍼 이송부는 제 1 및 제 2 처리 영역들 사이에서 이송부를 상승 및 하강시키는 회전 페데스탈(pedestal)에 동작가능하게 결합된다.
다양한 상이한 처리 동작들이 제 1 및 제 2 배치 처리 영역들에서 수행될 수 있지만, 본 발명의 일부 실시예들은 고온(예를 들면, 섭씨 100-200도), 제 2 배치 처리 영역에서의 가압된(예를 들면, 200-700 Torr) 오존 경화 공정 및 제 1 배치 처리 영역에서의 N2O 증기 어닐링 공정을 허용한다. 게다가, 제 1 배치 처리 영역은 웨이퍼들을 챔버 안으로 로딩 및 언로딩하기 위해 사용된다.
일 실시예에서, 본 발명은 배치 모드에서 복수의 웨이퍼들을 처리하기 위한 챔버에 관한 것이다. 상기 챔버는 내부 칸막이에 의해 분리된 제 1 및 제 2 처리 영역들을 갖는 수직으로 정렬된 하우징 - 상기 제 1 처리 영역은 상기 제 2 처리 영역 바로 위에 위치됨 - ; 서로 독립적인 상기 제 1 및 제 2 처리 영역들을 가열하기 위해 상기 하우징에 동작가능하게 결합된 멀티-구역 가열기; 상기 처리 챔버 내에서 복수의 웨이퍼들을 유지하고 상기 제 1 및 제 2 처리 영역들 사이에 수직으로 이동하도록 적응된 웨이퍼 이송부; 오존을 상기 제 2 영역 안으로 도입하고, 증기를 상기 제 1 처리 영역 안으로 도입하도록 적응된 가스 분배 시스템; 및 상기 제 1 및 제 2 처리 영역들 안으로 도입된 가스들을 배기하도록 구성된 가스 배기 시스템을 포함한다.
다른 실시예에서, 내부 칸막이에 의해 분리된 제 1 및 제 2 처리 영역들을 갖는 수직으로 정렬된 하우징 - 상기 제 1 처리 영역은 상기 제 2 처리 영역 바로 위에 위치됨 -; 서로 독립적인 상기 제 1 및 제 2 처리 영역들을 가열하기 위해 상기 하우징에 동작가능하게 결합된 멀티-구역 가열기; 처리를 위한 상기 제 1 또는 상기 제 2 처리 영역 중 어느 하나 내에서 복수의 웨이퍼들을 유지하도록 적응된 웨이퍼 이송부; 상기 제 1 처리 영역을 통하여 공정 가스를 도입하도록 적응된 제 1 가스 분배 시스템 및 상기 제 2 처리 영역을 통하여 공정 가스를 도입하도록 적응된 제 2 가스 분배 시스템; 상기 제 1 및 제 2 처리 영역들 안으로 도입된 공정 가스들을 배기하도록 구성된 가스 배기 시스템; 상기 웨이퍼 이송부에 동작가능하게 결합되고, 상기 복수의 웨이퍼들이 상기 제 2 처리 영역에 위치되는 상부 위치 및 상기 복수의 웨이퍼들이 상기 제 1 처리 영역에 위치되는 하부 위치 안으로 상기 웨이퍼 이송부를 이동시키기 위한 페데스탈; 및 웨이퍼들이 상기 웨이퍼 이송부로 로드될 수 있고 상기 웨이퍼 이송부로부터 제거될 수 있는 개방 위치와 폐쇄 밀봉된 위치 사이에서 이동될 수 있는 액세스 도어를 포함하는, 배치 모드에서 복수의 웨이퍼들을 처리하기 위한 기판 경화 챔버가 제공된다.
추가 실시예들 및 특징들은 후술하는 상세한 설명에 부분적으로 개시되고, 부분적으로는 명세서의 검토를 통하여 당업자에게 명백해질 것이거나 본 발명의 실시에 의해 알게 될 수 있다. 게다가, 본 발명의 본질 및 장점들의 추가적인 이해는 명세서의 나머지 부분들 및 도면들을 참조하여 실현될 수 있고, 여기서, 유사한 참조 부호들은 유사한 컴포넌트들을 나타내기 위해 몇몇의 도면들 전반에 걸쳐 사용된다.
도 1은 본 발명의 일 실시예에 따른 경화 챔버의 간략화된 단면도이고;
도 2는 도 1에 도시된 웨이퍼 이송부(20) 및 페데스탈(22)의 간략화된 단면도이며;
도 3은 도 1에 도시된 A-A'선들을 따라 취해진 웨이퍼 이송부(20)의 간략화된 단면도이고;
도 4는 도 1에 도시된 B-B'선들을 따라 취해진 경화 챔버(10)의 간략화된 단면도이며;
도 5는 본 발명의 일 실시예에 따라 도 1에 도시된 가스 플레넘(32)의 간략화된 단면도이고;
도 6은 본 발명에 따른 배치 경화 챔버를 포함하는 예시적인 멀티-챔버 기판 처리 시스템의 간략화되고 예시적인 도면이다.
도 1은 본 발명의 일 실시예에 따른 경화 챔버(10)의 간략화된 단면도이다. 여기에서 설명된 바와 같이, 챔버(10)는 수직으로-지향되고 제 1 및 제 2 배치 처리 영역(30 및 40)을 포함한다. 웨이퍼들은 내부에 복수의 웨이퍼들(즉, 일군의 웨이퍼들)을 유지하는 웨이퍼 이송부(20)에 의해 배치 처리 영역들(30 및 40) 각각에 전달된다. 일 실시예에서, 웨이퍼 이송부는 기판 처리 동작들 동안 일군의 웨이퍼들이 처리 영역들(30 및 40) 내에서 회전가능하게 하는 회전 페데스탈(22) 상에 장착된다.
아래에 설명된 바와 같이, 페데스탈(22)은 추가로 웨이퍼 이송부(20)를 처리 영역(40) 안으로 및 밖으로 들어올리고 물러나게 하는 수직 액추에이터(24)에 동작가능하게 결합된다. 슬릿 밸브(45)는 인덱서(indexer; 또한 미도시)에 결합되는 로봇(미도시)이, 웨이퍼 이송부(20)가 영역(40) 내에 위치되는 경우, 개별 웨이퍼들을 웨이퍼 이송부(20)로부터 로드 및 언로드하게 한다. 웨이퍼들을 이송부(20) 안으로 로드하기 위해, 인덱서는 원하는 위치로 로봇을 상승 및 하강시키고, 그 다음 로봇은 슬릿 밸브(45)를 통하여 연장되며, 개별 웨이퍼를 이송부(20) 내의 웨이퍼 지지체 상에 놓는다. 일 실시예에서, 웨이퍼들은 웨이퍼 이송부(20)가 채워질 때까지 웨이퍼 이송부(20) 내의 빈 웨이퍼 지지체들에 한번에 하나의 웨이퍼씩 로드(및 언로드)된다. 다른 실시예에서, 로봇은 각각이 웨이퍼를 유지하는 복수의 개별 암들을 포함하고, 다수의 웨이퍼들을 이송부(20) 안으로 한번에 로드(및 언로드)할 수 있다.
챔버(10)는 처리 영역들(30 및 40)을 둘러싸는 외벽(12) 및 처리 영역들(30 및 40) 사이의 구분 경계를 표시하는 내부 칸막이(14)를 포함한다. 칸막이(14)는 웨이퍼 이송부(20)가 칸막이를 지나서 상승 및 하강되게 하는 내부 갭을 갖는다. 나중에 논의될 바와 같이, 웨이퍼 이송부(20)의 상부 또는 하부가 칸막이(14)와 정렬하는 경우, 영역(30)으로부터 영역(40)으로 및 그 반대로 가스들의 유동을 완전하게 방지하지 않지만 억제하는 의사 밀봉이 생성된다. 일 실시예에서, 압력 평형 선(미도시)은 웨이퍼 이송부가 수직 액추에이터(24)에 의해 하나의 처리 영역에서 다른 곳으로 이동됨에 따라 생성될 수도 있는 압력 그레이디언트들에 기인하여 웨이퍼 이송부 상에 유발될 수도 있는 큰 힘들을 방지하기 위해 제 1 및 제 2 배치 처리 영역들 사이에 연장되는데, 그렇지 않으면 웨이퍼 이송부 상에 큰 힘들이 유발될 수 있다.
가스들은 가스 플레넘(32)을 통하여 배치 처리 영역(30) 안으로 도입될 수 있고, 배치 처리 영역(30)으로부터 배기 플레넘(34)을 통하여 배기될 수 있다. 유사하게, 가스들은 가스 플레넘(42)을 통하여 배치 처리 영역(40)안으로 도입될 수 있고, 배치 처리 영역(40)으로부터 배기 플레넘(44)을 통하여 배기될 수 있다. 아래에 논의된 바와 같이, 가스 플레넘들(32 및 42) 각각은 챔버(10)의 내면을 따라 수평 및 수직 모두로 다수의 가스 유입부들을 포함한다. 유사하게, 배기 플레넘들(34 및 44) 각각은 챔버(10)의 대향하는 내면을 따라 수평 및 수직 모두로 배열된 다수의 배기 배출부들을 포함한다. 일 실시예에서, 배치 처리 영역(30)은 특히 배치 오존 경화 동작에 대해 적응되고, 오존(O3), 산소(O2) 및 질소(N2)의 소스들은 가스 플레넘(32)에 결합되는 반면, 배치 처리 영역(40)은 특히 증기 어닐링 동작에 대해 적응되고, 질소(N2), 산소(O2) 및 증기(H2O) 분자의 소스들은 가스 플레넘(42)에 결합된다.
챔버(10)의 밀봉 특성(nature) 및 진공 펌프는 각각의 영역에서 수행되는 기판 처리 동작에 기반하여 선택된 원하는 압력들로 각각의 영역들(30 및 40) 내에서 진공 처리를 가능하게 한다. 특정 예들로서, 일 실시예에서, 진공 펌프는 오존 경화 동안 약 600 Torr로 챔버를 진공배기 하고, 챔버 세정 단계 동안 1-5내지 Torr로 챔버를 진공배기 한다.
게다가, 원격 플라즈마 시스템(50)이 챔버(10)의 상부 면에 장착될 수 있고, 세정 가스들(예를 들면, 질소 삼불화물) 중 하나 또는 둘 이상의 소스들에 동작가능하게 결합될 수 있다. 원격 플라즈마 시스템은 처리 동안 챔버(10)의 내면들 상에 증착될 수 있는 입자들을 제거하기 위한 챔버 세정 동작 동안 활성화된 세정 종들을 각각의 처리 영역들(30 및 40) 안으로 도입하기 위해 처리 영역들(30 및 40)에 유동적으로 결합될 수 있다. 챔버 세정 동작은, 예를 들면, 챔버들(30 및 40) 각각에서 하나 또는 많은 배치 경화 단계들 및/또는 배치 어닐링 단계들 다음에 일정한 간격들로 발생할 수 있다. 일 실시예에서, 세정 단계 동안, 아르곤 및 NF3의 플라즈마는 원격 플라즈마 시스템(50) 내에 형성되고, 활성화된 세정 종들은 원격 플라즈마 시스템으로부터 처리 영역(30) 안으로 직접 유동될 수 있다. 추가 세정 가스들(예를 들면, 추가의 NF3)은 또한 가스 플레넘(32) 내의 가스 라인들에 의해 영역(30) 안으로 도입될 수 있다.
가열기(미도시)는 경화 및 어닐링 동작들 동안(및 원하는 경우 세정 동작들 동안) 가열 챔버(10)에 동작가능하게 결합된다. 가열기는 처리 영역(30) 내의 온도가 처리 영역(40)의 온도와 상이한 온도로 설정되게 하는, 독립적으로 제어되는 적어도 제 1 및 제 2 가열 구역들을 포함한다. 독립적인 온도 센서들(미도시)은 각각의 처리 영역들(30 및 40) 내의 온도를 감지하기 위해 위치되고, 영역들(30 및 40) 각각의 온도를 필요에 따라 독립적으로 조정하기 위해 컴퓨터 제어 시스템(미도시)에 의해 사용될 수 있다. 일 실시예에서, 가열기는 챔버의 상부 벽(12a) 및 하부 벽(12b)에 결합된 가열 부재들과 함께 외벽(12)에 결합된 원통형 밴드 가열기를 포함한다. 다른 유형들의 가열기들은 당업자에 의해 이해되는 바와 같이, 다른 실시예들에서 사용될 수 있다. 또한, 열 블랭킷은 일부 실시예들에서 열 손실을 최소화하기 위해 챔버(10) 및 그 가열 부재들 둘레에 둘러싸일 수 있다.
게다가, 본 발명의 일부 실시예들은 영역들 바로 아래의 온도 차이들을 보상하기 위해 가열된 질소(N2)를 처리 영역들(30 및 40)에 제공하기 위해 사용될 수 있는 전용 가스 라인을 처리 영역(30)의 하부 일부에 및/또는 처리 영역(40)의 하부에 제공한다. 예로서, 일부 예시들에서, 처리 영역(40)에서 수행되는 처리 동작들은 섭씨 100도 또는 영역(30)에서 수행된 처리 동작들이 설정된 온도보다 높은 온도로 발생할 수 있다. 비록 칸막이(14) 및 플레이트들(26 및 28)이 2개의 처리 영역들 사이에 열 격리를 제공할지라도, 이러한 온도 차이를 더 잘 보상하기 위해, 복수의 전용 가스 유입부들이 칸막이(14) 바로 위의 챔버(10)의 내부 주변부 둘레에 위치된다. 웨이퍼들이 구역(40)의 온도보다 높은 온도로 구역(30)에서 처리되고 있는 경우, 챔버의 이러한 영역에 추가 열을 제공하기 위해, 가스는 가열될 수 있고, 이러한 유입부들을 통하여 도입될 수 있다. 대안적으로, 웨이퍼들이 구역(30)의 온도보다 낮은 온도로 구역(40)에서 처리되고 있는 경우, 챔버의 이러한 영역에 추가 냉각을 제공하기 위해, 실온 또는 냉각된 가스가 이들 유입부들을 통하여 도입될 수 있다. 다른 실시예에서, 가스 유입부들은 플레이트들(26 및 28)의 어느 하나 또는 모두 내에 위치될 수 있다.
장착된 웨이퍼 이송부(20)의 상부 일부의 간략화된 단면도인 도 2를 참조하면, 복수의 반도체 웨이퍼들(25)은 웨이퍼 이송부 내에 위치될 수 있다. 각각의 개별 웨이퍼(25)는 일반적으로 원형이고(예를 들면, 실리콘 반도체 웨이퍼), 전용 최소-접촉 웨이퍼 지지체(21)에 의해 지지된다. 일 실시예에서, 웨이퍼 지지체(21)는 그것의 외부 가장자리 부근에서 이송부(20) 내에 유지될 수 있는 각각의 웨이퍼를 지지하는 3개의 돌출부들(ledge; 21a, 21b 및 21c)을 포함한다. 도 3에 도시된 바와 같이, 지지 선반들(21a-21c)은 웨이퍼 이송부(20) 주변부의 둘레에서 균등하게 이격된다. 일 실시예에서, 연속한 수평 위치의 각각의 웨이퍼는 개별 웨이퍼의 외부 주변부 둘레에 배열된 지지 포스트들 상에 지지된다. 하나의 특정 실시예에서, 웨이퍼 이송부(20)는 30개의 웨이퍼들을 유지하고, 그에 따라 30 세트의 웨이퍼 지지체들 선반들(21a-21c)을 갖는다.
웨이퍼 이송부(20)는 상부 열 격리 플레이트(26) 및 하부 열 격리 플레이트(28)를 더 포함한다. 열 격리 플레이트들(26 및 28) 각각은 이송부 내에 위치된 웨이퍼들의 직경보다 조금 큰 직경을 갖는다. 도 1은 웨이퍼들이 이송부로부터 로드 및 언로드될 수 있고, 일단 완전한 일군의 웨이퍼들이 이송부에 위치되면, 하부 처리 영역(40) 내에서 처리될 수 있는 하부 위치에서의 웨이퍼 이송부(20)를 도시한다. 도 1에 도시된 바와 같이, 이러한 하부 위치에서, 상부 열 격리 플레이트(26)의 하부 면은 웨이퍼들(25)이 영역(40) 내에서 처리되고 있는 동안 일반적으로 하부 처리 영역(40) 내의 환경을 상부 처리 영역(30)과 격리하기 위해 칸막이(14)와 접촉한다.
유사하게, 웨이퍼 이송부(20)가 페데스탈(22) 및 수직 액추에이터에 의해 상부 처리 영역(30)에서 웨이퍼들(25)을 처리하기 위한 위치로 상승되고, 하부 열 격리 플레이트(28)의 상부 면은 일반적으로 상부 처리 영역(30) 내의 환경을 하부 처리 영역(40)과 격리하기 위해 칸막이(14)와 접촉한다. 게다가, 격리 플레이트들(26 및 28) 각각은 챔버 벽의 상부 및 하부 면들(12a 및 12b)에서 웨이퍼들(25)을 가열기들로부터 열적으로 격리하기 위해 낮은 열 전도성을 갖는 물질(예를 들면, 열 플라스틱 물질 또는 스테인리스 강)로 이루어질 수 있다. 칸막이들(14)은 또한 유사한 낮은 열 전도성 물질로 이루어질 수 있다. 따라서, 칸막이들(14)과 상부 또는 하부 열 격리 플레이트들(26, 28) 중 어느 하나와의 결합은 처리 영역들(30 및 40)을 열적으로 격리하는 것을 돕고 따라서 처리영역들은 상이한 동작 온도들로 유지될 수 있다.
도 1에 도시된 B-B' 선들을 따라 취해진 경화 챔버(10)의 간략화된 단면도인 도 4, 및 가스 플레넘(32)의 간략화된 단면도인 도 5를 참조하면, 가스는 유입부(35)를 통하여 플레넘(32)으로 들어가고, 챔버의 내벽에 형성된 복수의 가스 유입부들(36)을 통하여 처리 영역(30) 안으로 순환된다. 일 실시예에서, 라이너(38)는 가스 유동이 모든 유입부들(36)에서 처리 영역(30) 안으로 균등하게 도입되도록 플레넘의 모든 위치들에서 압력을 균일하게 하는 것을 돕는다. 가스 플레넘(32)의 반대편에, 복수의 가스 배기부들(37)이 배기 플레넘(34)의 내벽에 형성되고, 가스 배출부들(39)은 가스들을 챔버(10)로부터 진공 포어라인(foreline) 안으로 배기하기 위해 사용된다. 유사한 가스 분배 배열이 가스 플레넘(42) 및 배기 플레넘(44)을 위해 형성된다. 가스 플레넘과 배기 플레넘 사이의 상반 관계는 가스 패널들로부터 배기 플레넘들까지 웨이퍼 이송부(20)에 배열된 웨이퍼들 각각을 가로지르는 가스들의 유동을 생성한다. 이송부(20)의 각각의 웨이퍼의 표면에 걸친 균일한 가스 분배를 보장하기 위해, 일 실시예에서, 도 4에 도시된 복수의 유입부들(36) 및 가스 배기부들(37)은 웨이퍼들을 유지하도록 구성되는 웨이퍼 이송부(20)의 수와 동일한 수의 수직 적층들로 배열된다. 따라서, 이송부(20)가 30개의 웨이퍼들을 유지하는 실시예에서, 배치 처리 영역들(30 및 40) 각각에서 이격되고, 웨이퍼 이송부(20) 상의 특정 위치에 위치된 웨이퍼의 표면을 가로지르는 균일한 가스 유동을 생성하도록 위치된 30 세트의 가스 유입부들(36) 및 가스 배기부들(37)이 있다.
이전에 설명한 바와 같이, 본 발명의 실시예들은 특히 오존 경화 동작을 수행하는데 매우 적합하다. 웨이퍼들은 막 증착 또는 형성 챔버(예를 들면, 경화되어야 하는 탄소 도핑된 산화물 또는 다른 막이 증착되는 곳)로부터 배치 처리 영역(40)으로 직접 이송될 수 있다. 웨이퍼들이 매 90초 마다 한번에 하나의 웨이퍼씩 처리 영역 안으로 이송되고 이송부(20)가 30개의 웨이퍼들을 유지하는 경우, 이송부를 완전히 채우는 데는 30분이 넘게 소요될 것이다. 일부 예시들에서, 가스 배출은 막 증착 직후에 계속해서 발생할 수 있고, 따라서 이송부(20)로 이송된 마지막 웨이퍼로부터의 가스 배출의 양이 마지막 웨이퍼보다 30분 전에 자신 위에 증착된 층을 가졌을 수 있는 처음 웨이퍼로부터의 가스 배출의 양과 매우 근접하거나 동일한 정도로 가스 배출이 안정될 때까지 처리 영역(40)은 또한 웨이퍼들이 있는 유지 영역으로서 기능할 수 있다. 다른 실시예들에서, 웨이퍼들은 가스 배출의 평형이 허용되기 위해 개별 유지 영역에서 유지되고, 그 다음 처리 영역(40) 안으로 이송된다.
일단 웨이퍼들이 준비되면, 그 다음 이송부(20)는 플레이트(28)가 칸막이(14)와 의사 밀봉을 형성하는 처리 영역(40)까지 이동된다. 그 다음 웨이퍼들은 오존 경화 공정을 겪을 수 있다. 일 실시예에서, 웨이퍼들을 섭씨 105-200도 사이의 원하는 온도로 가열하기 위해 질소가 먼저 영역(40) 안으로 도입된다. 그 다음 200-700 Torr 사이의 압력(일 특정 실시예에서 600 Torr)에서 오존 경화를 수행하기 위해 오존이 도입된다. 경화 단계가 완료되면, 웨이퍼 이송부는 다시 처리 영역(30)으로 하강될 수 있고, 웨이퍼들은 더 낮은 온도의 증기 어닐 또는 다른 사후 경화 공정을 겪을 수 있거나, 챔버(10)로부터 다른 챔버로 완전히 이송될 수 있다.
경화 챔버(10)는 어플라이드 머티어리얼스에 의해 제조된 CenturaTM 또는 ProducerTM 시스템과 같은 멀티챔버 기판 처리 시스템에 동작가능하게 결합될 수 있다. 이러한 시스템에서, 액세스 도어(45)(예를 들면, 슬릿 밸브)는 멀티챔버 시스템의 내부 챔버에 개방할 수 있다. 웨이퍼들은 로봇에 의해 액세스 도어(45)를 통하여 챔버(10)의 안으로 및 밖으로 이동될 수 있다. 도 7은 챔버(10)가 시스템의 FOUP들(front opening unified pods) 중 하나에 통합될 수 있는 그러한 시스템의 일 예를 도시한다. FOUP들(402)은 로봇 암들(404)에 의해 수용되어 웨이퍼 처리 챔버들(408a-f) 중 하나 안으로 배치되기 이전에 저압 유지 영역(406) 안으로 위치되는 기판들(예를 들면, 300 ㎜ 직경 웨이퍼들)을 공급한다. 제 2 로봇 암(410)은 기판 웨이퍼들을 유지 영역(406)으로부터 처리 챔버들(408a-f) 안으로 및 그 반대로 이송하기 위해 사용될 수 있다. 처리 챔버들(408a-f)은 유전체 막들을 기판 웨이퍼 상에 증착하거나 각각의 챔버들(408a-f) 내에서 다른 기판 동작들을 수행하기 위한 하나 또는 둘 이상의 시스템 컴포넌트들을 포함할 수 있다.
도 1 내지 도 5 중 어느 하나에 도시되지 않았지만, 본 발명의 일부 실시예들은 가열되거나 냉각된 비-반응성 가스(예를 들면, N2)를 칸막이들(14) 둘레의 영역들로 전달하도록 적응된 챔버 벽(12)의 일부 내에 가스 유입부 채널 또는 튜브를 포함한다. 가스는 영역들(30 및 40)에서 수행된 공정들이 상이한 온도들에서 그렇게 수행되는 경우 온도 비-균일성들을 보상하기 위해 이러한 영역들에서 채널들 내의 챔버 벽들 내에서 순환될 수 있다. 이러한 예들에서, 이러한 온도 제어 가스의 유동은, 예를 들면, 하부 챔버의 상부 일부를 냉각시키기 위해 사용될 수 있고, 그래서 처리 영역(40)에서의 챔버의 상부 일부의 온도는 처리 영역(40)에서 챔버의 하부 일부의 온도와 보다 근접하게 일치한다.
챔버(10)에서 하나 또는 둘 이상의 일군들의 웨이퍼들을 처리한 후, 챔버는 원격 플라즈마 시스템(50)에서 생성된 활성화된 불소 라디칼들을 챔버(10) 안으로 유동시킴으로써 세정될 수 있다. 웨이퍼 이송부(20)는 상부 격리 플레이트(26) 또는 하부 격리 플레이트(28)중 어느 하나도 세정 단계 동안 칸막이(14)와 접촉되지 않도록 일반적으로 중간 위치에 배치된다. 이러한 위치에서, 웨이퍼 이송부의 상부 일부는 처리 영역(30)에 있는 반면, 이송부의 하부 일부는 처리 영역(40)에 있고, 세정 가스들은 챔버(10)의 상부 및 하부 일부들 모두에서 세정을 달성하기 위해 상부 플레이트(26) 둘레의 영역(30)에서 영역(40) 안으로 자유롭게 유동한다.
몇몇 실시예들에서 설명되었지만, 다양한 변형들, 대안적인 구성들, 및 등가물들이 본 발명의 사상을 벗어나지 않고 이용될 수 있음이 당업자들에 의해 인식될 것이다. 부가적으로, 많은 주지의 공정들 및 부재들은 본 발명을 불필요하게 모호하게 함을 방지하기 위해 설명하지 않았다. 따라서, 상기 설명은 본 발명의 범주를 제한하는 것으로 간주되지 않아야 한다.
여기서 및 첨부된 청구항들에서 사용되는 바와 같이, 단수 형태들 "일", "하나" 및 "그"는, 문맥이 명확히 다르게 지시하지 않는 한, 복수의 지시 대상들을 포함한다. 따라서, 예를 들면, "일 공정(a process)"에 대한 언급은 복수의 이러한 공정들을 포함하고, "그 전구체(the precursor)"에 대한 언급은 하나 또는 둘 이상의 전구체 및 당업자에게 알려져 있는 그 등가물들에 대한 언급을 포함하는 것 등등이다. 또한, 단어들 "포함하다(comprise)", "포함하는(comprising)", "구비하다(include)", "구비하는(includes)" 및 "구비하는(includes)"은, 본 명세서 및 다음의 청구항들에서 사용되는 경우에, 명시된 특징들, 정수들, 컴포넌트들, 또는 단계들의 존재를 특정하도록 의도되지만, 이들이 하나 또는 둘 이상의 다른 특징들, 정수들, 컴포넌트들, 단계들, 동작들, 또는 그룹들의 존재 또는 부가를 배제하는 것은 아니다.

Claims (13)

  1. 배치 모드에서 복수의 웨이퍼들을 처리하기 위한 기판 경화 챔버로서,
    내부 칸막이에 의해 분리된 제 1 및 제 2 처리 영역들을 갖는 수직으로 정렬된 하우징 - 상기 제 1 처리 영역은 상기 제 2 처리 영역 바로 위에 위치됨 -;
    상기 제 1 및 제 2 처리 영역들을 서로 독립적으로 가열하기 위해 상기 하우징에 동작가능하게 결합된 멀티-구역 가열기;
    처리를 위한 상기 제 1 또는 상기 제 2 처리 영역 중 어느 하나 내에서 복수의 웨이퍼들을 유지하도록 구성된 웨이퍼 이송부;
    상기 제 1 처리 영역을 통하여 공정 가스를 도입하도록 구성된 제 1 가스 분배 시스템 및 상기 제 2 처리 영역을 통하여 공정 가스를 도입하도록 구성된 제 2 가스 분배 시스템;
    상기 제 1 및 제 2 처리 영역들 안으로 도입된 공정 가스들을 배기하도록 구성된 가스 배기 시스템;
    상기 복수의 웨이퍼들이 상기 제 2 처리 영역에 위치되는 하부 위치 및 상기 복수의 웨이퍼들이 상기 제 1 처리 영역에 위치되는 상부 위치 안으로 상기 웨이퍼 이송부를 이동시키도록, 상기 웨이퍼 이송부에 동작가능하게 결합된 페데스탈; 및
    웨이퍼들이 상기 웨이퍼 이송부 상에 로드될 수 있고 상기 웨이퍼 이송부로부터 제거될 수 있는 개방 위치와 폐쇄 밀봉된 위치 사이에서 이동될 수 있는 액세스 도어;를 포함하며,
    상기 웨이퍼 이송부는 기판 처리 동안 상기 제 1 및 제 2 처리 영역들 사이에서 유체 소통(fluid communication)을 최소화하기 위해 상기 칸막이와 접촉하여 이동될 수 있는 상부 및 하부 격리 플레이트들을 포함하는,
    기판 경화 챔버.
  2. 제 1 항에 있어서,
    활성화된 세정 종들(species)을 상기 경화 챔버 안으로 도입하도록 동작가능하게 결합된 원격 플라즈마 시스템을 더 포함하는,
    기판 경화 챔버.
  3. 제 1 항에 있어서,
    상기 페데스탈은 기판 처리 동안 상기 웨이퍼 이송부를 회전시키도록 동작가능하게 결합되는,
    기판 경화 챔버.
  4. 제 1 항에 있어서,
    상기 웨이퍼 이송부가 복수의 웨이퍼들을 유지하되, 연속한 수평 위치의 각각의 웨이퍼는 개별 웨이퍼의 외부 주변부 둘레에 배열된 지지 포스트들 상에 지지되는,
    기판 경화 챔버.
  5. 제 1 항에 있어서,
    상기 제 1 가스 분배 시스템은 증기를 도입하고 상기 제 1 처리 영역에서 증기 어닐을 수행하도록 구성되고 상기 제 2 가스 분배는 오존을 도입하고 상기 제 2 처리 영역에서 오존 경화를 수행하도록 구성되는,
    기판 경화 챔버.
  6. 제 1 항에 있어서,
    상기 제 1 및 제 2 처리 영역들의 경계 근처의 위치에서 온도 제어 가스를 도입하기 위한 하나 또는 둘 이상의 전용 가스 유입부들을 더 포함하는,
    기판 경화 챔버.
  7. 제 1 항에 있어서,
    상기 웨이퍼 이송부는 상기 이송부 내에서 수직으로 적층된 30개의 웨이퍼들을 유지하는,
    기판 경화 챔버.
  8. 제 1 항에 있어서,
    상기 제 2 가스 분배 시스템은 상기 챔버의 내부 원주의 일부 둘레에 배열된 복수의 가스 유입부들을 포함하고 상기 가스 배기 시스템은 상기 복수의 가스 유입부들에 대향하는 상기 챔버의 내부 원주의 일부 둘레에 배열된 복수의 가스 배기 배출부들을 포함하는,
    기판 경화 챔버.
  9. 제 1 항에 있어서,
    상기 웨이퍼 이송부는 상기 복수의 웨이퍼들을 상기 이송부 내의 복수의 수직으로 정렬된 웨이퍼 위치들에서 그리고 각각의 웨이퍼 위치에 대하여 유지하고, 상기 제 2 가스 분배 시스템은 대응하는 웨이퍼 위치와 정렬된 위치에서 상기 챔버의 내부 원주의 일부 둘레에 배열된 복수의 가스 유입부들을 포함하며, 상기 가스 배기 시스템은 상기 대응하는 웨이퍼 위치와 정렬된 상기 복수의 가스 유입부들에 대향하는 상기 챔버의 상기 내부 원주의 일부 둘레에 배열된 복수의 가스 배기 배출부들을 포함하는,
    기판 경화 챔버.
  10. 제 1 항에 있어서,
    상기 액세스 도어는 상기 제 1 처리 영역에서 상기 챔버에 동작가능하게 결합되는,
    기판 경화 챔버.
  11. 삭제
  12. 삭제
  13. 삭제
KR1020137001058A 2010-06-16 2011-06-16 로드락 배치 오존 경화 KR101891292B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US35552710P 2010-06-16 2010-06-16
US61/355,527 2010-06-16
PCT/US2011/040713 WO2011159905A2 (en) 2010-06-16 2011-06-16 Loadlock batch ozone cure

Publications (2)

Publication Number Publication Date
KR20130087502A KR20130087502A (ko) 2013-08-06
KR101891292B1 true KR101891292B1 (ko) 2018-08-24

Family

ID=45348869

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137001058A KR101891292B1 (ko) 2010-06-16 2011-06-16 로드락 배치 오존 경화

Country Status (7)

Country Link
US (1) US8524004B2 (ko)
JP (1) JP2013530536A (ko)
KR (1) KR101891292B1 (ko)
CN (1) CN102934214B (ko)
SG (1) SG185588A1 (ko)
TW (1) TWI529775B (ko)
WO (1) WO2011159905A2 (ko)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
CN103594401B (zh) * 2012-08-16 2018-05-22 盛美半导体设备(上海)有限公司 载锁腔及使用该载锁腔处理基板的方法
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
WO2015132830A1 (ja) * 2014-03-04 2015-09-11 キヤノンアネルバ株式会社 真空処理装置及び真空処理方法
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
CN104269369A (zh) * 2014-08-29 2015-01-07 沈阳拓荆科技有限公司 一种通过真空装载腔为晶圆预热的装置及方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20180258519A1 (en) * 2015-10-25 2018-09-13 Applied Materials, Inc. Apparatus for vacuum deposition on a substrate and method for masking the substrate during vacuum deposition
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
US10453678B2 (en) * 2017-04-13 2019-10-22 Applied Materials, Inc. Method and apparatus for deposition of low-k films
KR102445736B1 (ko) * 2020-12-30 2022-09-21 주식회사 테스 기판세정장치

Family Cites Families (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
AU2001246832A1 (en) 2000-04-04 2001-10-15 Asahi Kasei Kabushiki Kaisha Coating composition for the production of insulating thin films
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
KR20030093270A (ko) 2001-03-23 2003-12-06 다우 코닝 코포레이션 수소화 규소 옥시카바이드 필름의 제조 방법
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
JP4194262B2 (ja) * 2001-09-27 2008-12-10 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
JP2003209152A (ja) * 2001-12-28 2003-07-25 Applied Materials Inc ワークピース処理チャンバ
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
AU2004313262B2 (en) 2003-12-17 2009-06-04 Cedraeus Inc. Method for a random-based decision-making process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
EP1717848A4 (en) 2004-02-17 2010-03-24 Toagosei Co Ltd PROCESS FOR PRODUCING SILICONE OXIDE FILM
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
WO2005121397A2 (en) 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP4414910B2 (ja) * 2005-02-17 2010-02-17 東京エレクトロン株式会社 半導体製造装置及び半導体製造方法
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
US20080217293A1 (en) * 2007-03-06 2008-09-11 Tokyo Electron Limited Processing system and method for performing high throughput non-plasma processing
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
JP2011512020A (ja) * 2007-12-20 2011-04-14 アプライド マテリアルズ インコーポレイテッド 移送モジュール上の1つの単独ファセットを使用する互い違いの二重プロセスチャンバー
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
JP2010123752A (ja) * 2008-11-19 2010-06-03 Hitachi Kokusai Electric Inc 基板処理装置
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition

Also Published As

Publication number Publication date
CN102934214B (zh) 2016-01-27
WO2011159905A2 (en) 2011-12-22
WO2011159905A3 (en) 2012-04-19
SG185588A1 (en) 2012-12-28
US8524004B2 (en) 2013-09-03
US20120145079A1 (en) 2012-06-14
JP2013530536A (ja) 2013-07-25
CN102934214A (zh) 2013-02-13
TW201209880A (en) 2012-03-01
TWI529775B (zh) 2016-04-11
KR20130087502A (ko) 2013-08-06

Similar Documents

Publication Publication Date Title
KR101891292B1 (ko) 로드락 배치 오존 경화
US9349620B2 (en) Apparatus and method for pre-baking substrate upstream of process chamber
US11408075B2 (en) Batch curing chamber with gas distribution and individual pumping
US9285168B2 (en) Module for ozone cure and post-cure moisture treatment
JP4237939B2 (ja) 基板加熱冷却を改良した真空処理装置
TWI335618B (en) Substrate processing apparatus using a batch processing chamber
US20050188923A1 (en) Substrate carrier for parallel wafer processing reactor
US20100154711A1 (en) Substrate processing apparatus
JPH06239635A (ja) 大面積ガラス基板の冷却および加熱方法とそのための装置
JP2009016832A (ja) 除去可能なサセプタを伴う熱バッチリアクタ
KR20180123522A (ko) 기판 탈가스용 챔버
JP2012023073A (ja) 基板処理装置および基板の製造方法
US11837478B2 (en) Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
KR102137512B1 (ko) 기판 탈가스용 챔버
JP2011187543A (ja) 基板処理装置および半導体装置の製造方法
TW202302904A (zh) 熱噴淋頭
JP2011204735A (ja) 基板処理装置および半導体装置の製造方法
JP2011204945A (ja) 基板処理装置および半導体装置の製造方法
TW202006181A (zh) 具有氣體分佈及個別泵送的批次固化腔室
KR20100127462A (ko) 다양한 공정 온도 조절이 가능한 반도체 공정용 챔버 및 이를 이용하는 반도체 제조 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right