CN102934214A - 装载闸批式臭氧硬化 - Google Patents

装载闸批式臭氧硬化 Download PDF

Info

Publication number
CN102934214A
CN102934214A CN2011800278030A CN201180027803A CN102934214A CN 102934214 A CN102934214 A CN 102934214A CN 2011800278030 A CN2011800278030 A CN 2011800278030A CN 201180027803 A CN201180027803 A CN 201180027803A CN 102934214 A CN102934214 A CN 102934214A
Authority
CN
China
Prior art keywords
processing region
chamber
wafer
processing
conveyer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800278030A
Other languages
English (en)
Other versions
CN102934214B (zh
Inventor
D·卢博米尔斯基
J·D·潘松二世
K·H·弗劳德
A·汗
S·文卡特拉马
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102934214A publication Critical patent/CN102934214A/zh
Application granted granted Critical
Publication of CN102934214B publication Critical patent/CN102934214B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供一种用于以批次模式处理多个晶圆的基板处理腔室。在一个实施例中,所述腔室包括:垂直对准外壳,所述外壳具有由内部分割器分隔的第一处理区域及第二处理区域,所述第一处理区域直接定位于所述第二处理区域上方;多区域加热器,所述加热器操作性耦接至所述外壳,以加热彼此独立的所述第一处理区域及所述第二处理区域;晶圆传送器,所述传送器适于固持所述处理室内的多个晶圆,及在所述第一处理区域与所述第二处理区域之间垂直移动;气体分配系统,所述气体分配系统适于将臭氧引入所述第二区域中及将蒸汽引入所述第一处理区域中;以及排气系统,所述排气系统经设置以排出被引入所述第一处理区域及所述第二处理区域中的气体。

Description

装载闸批式臭氧硬化
相关申请案的交叉引用
本申请案主张2010年6月16日提出申请的美国临时专利申请案第61/355,527号的权益,所述案出于所有目的以引用的方式并入本文。
发明背景
自数十年前引入半导体器件以来,半导体器件几何形状已显著减小。现代半导体制造设备常规生产具有250nm、180nm及65nm的特征结构大小的器件,且新设备正在开发及实施中,以制造具有更小几何形状的器件。减小的特征结构大小在具有减小空间尺寸的器件上产生结构性特征。所述减小的尺寸又需要使用具有极低电阻系数的导电材料及具有极低介电常数的绝缘材料。
低介电常数薄膜特别为金属前介电(premetal dielectric;PMD)层及金属间介电(intermetal dielectric;IMD)层所需要,以减少互连金属化的RC时间延迟、防止不同层金属化之间的串音及减少器件功率消耗。利用早期CVD技术沉积的未掺杂氧化硅薄膜通常具有4.0至4.2范围内的介电常数(k)。相反,现今常用于半导体工业的各种碳基介电层具有低于3.0的介电常数。此等碳基介电层中的大多数在最初沉积时相对不稳定,且随后在氧环境中硬化和/或退火以增加薄膜稳定性。
发明内容
本发明的实施例关于一种适于同时硬化一批晶圆的腔室。所述腔室包括第一批式处理区域及第二批式处理区域,所述区域各自由支撑多个基板的晶圆传送器服务,每一基板定位于以平行堆迭布置的专用晶圆支撑件上。在一个实施例中,第一批式处理区域直接位于第二批式处理区域下方,且晶圆传送器操作性耦接至旋转支座,所述旋转支座在第一处理区域与第二处理区域之间升高及降低所述传送器。
尽管可在第一批式处理区域及第二批式处理区域中进行多种不同的处理操作,但本发明的一些实施例允许第二批式处理区域中的高温(例如,100至200℃)、加压(例如,200至700Torr)臭氧硬化工艺及第一批式处理区域中的N2O蒸汽退火工艺。另外,第一批式处理区域用于将晶圆装卸至腔室中。
在一个实施例中,本发明关于一种用于以批次模式处理多个晶圆的腔室。所述腔室包括:垂直对准外壳,所述外壳具有由内部分割器分隔的第一处理区域及第二处理区域,第一处理区域直接定位于第二处理区域上方;多区域加热器,所述加热器操作性耦接至所述外壳,以加热彼此独立的第一处理区域及第二处理区域;晶圆传送器,所述传送器适于固持处理腔室内的多个晶圆,及在第一处理区域与第二处理区域之间垂直移动;气体分配系统,所述气体分配系统适于将臭氧引入第二区域中,及将蒸汽引入第一处理区域中;以及排气系统,所述排气系统经设置以排出被引入第一处理区域及第二处理区域中的气体。
在另一实施例中,提供一种用于以批次模式处理多个晶圆的基板硬化腔室,所述基板硬化腔室包括:垂直对准外壳,所述外壳具有由内部分割器分隔的第一处理区域及第二处理区域,第一处理区域直接定位于第二处理区域上方;多区域加热器,所述加热器操作性耦接至所述外壳,以加热彼此独立的第一处理区域及第二处理区域;晶圆传送器,所述传送器适于固持第一处理区域或第二处理区域内的多个晶圆以用于处理;第一气体分配系统及第二气体分配系统,所述第一气体分配系统适于经由第一处理区域引入处理气体,所述第二气体分配系统适于经由第二处理区域引入处理气体;排气系统,所述排气系统经设置以排出被引入第一处理区域及第二处理区域中的处理气体;支座,所述支座操作性耦接至晶圆传送器,以将晶圆传送器移至一上部位置及一下部位置,在所述上部位置中,将所述多个晶圆定位于第二处理区域中,且在所述下部位置中,将所述多个晶圆定位于第一处理区域中;以及进出门,所述进出门可在一开启位置与一闭合密封位置之间移动,在所述开启位置中,可将晶圆装载至晶圆传送器上,且从晶圆传送器移除晶圆。
额外实施例及特征在以下描述中部分阐述,且某种程度上将在查阅本说明书之后对本领域普通技术人员变得显而易见,或可藉由实施本发明而获悉。另外,对本发明的性质及优点的进一步理解可参阅本说明书的其余部分及附图来实现,其中在所述若干附图中使用相同附图标记以代表相同组件。
附图简述
图1为根据本发明的一个实施例的硬化腔室的简化横截面图;
图2为图1所示的晶圆传送器20及支座22的简化横截面图;
图3为沿图1所示的线A-A'截取的晶圆传送器20的简化横截面图;
图4为沿图1所示的线B-B'截取的硬化腔室10的简化横截面图;
图5为根据本发明的一个实施例的图1所示的气体气室32的简化横截面图;以及
图6是示例性多腔室基板处理系统的简化说明图,包含根据本发明的批式硬化腔室。
具体实施方式
图1为根据本发明的一个实施例的硬化腔室10的简化横截面图。腔室10经垂直定向,且腔室10包括如本文所述的第一批式处理区域30及第二批式处理区域40。藉由晶圆传送器20将晶圆递送至批式处理区域30及40中的每一个,晶圆传送器20固持晶圆传送器20内的多个晶圆(亦即,一批晶圆)。在一个实施例中,晶圆传送器安装于旋转支座22上,旋转支座22允许在基板处理操作期间在处理区域30及40内旋转所述一批晶圆。
支座22进一步操作性耦接至垂直致动器24,所述垂直致动器24将晶圆传送器20提升至处理区域40中,且将晶圆传送器20从处理区域40抽出,如下所述。流量阀45允许机械手(未图示)在晶圆传送器20定位于区域40内时从晶圆传送器20装卸个别晶圆,所述机械手耦接至分度器(亦未图示)。为将晶圆装载至传送器20中,分度器将机械手升高或降低至所要位置,且机械手随后延伸经过流量阀45,且将个别晶圆置放于传送器20内的晶圆支撑件上。在一个实施例中,一次一个晶圆地将晶圆装载(及卸载)至晶圆传送器20内的空晶圆支撑件上,直至装满传送器为止。在另一实施例中,机械手包括多个独立臂,每一臂固持一晶圆,且机械手可一次将多个晶圆装载(及卸载)至传送器20中。
腔室10包括封闭处理区域30及40的外壁12及标示处理区域30与处理区域40之间的分离边界的内部分割器14。分割器14具有内间隙,所述间隙允许将晶圆传送器20升高及降低超出所述分割器。如下文将论述,当晶圆传送器20的顶部部分或底部部分与分割器14对准时,产生准密封(pseudo seal),所述准密封抑制但并不完全阻止气体从区域30流动至区域40,且反之亦然。在一个实施例中,压力等化管道(未图示)在第一批式处理区域与第二批式处理区域之间延伸,以避免晶圆传送器上原本可能诱发的巨大力,所述力归因于当藉由垂直致动器24将晶圆传送器从一个处理区域移至另一个处理区域时可能产生的压力梯度。
气体可经由气体气室32引入批式处理区域30中,且气体可经由排气气室34从批式处理区域30排出。类似地,气体可经由气体气室42引入批式处理区域40中,且气体可经由排气气室44从批式处理区域40排出。气体气室32及42中的每一者包括沿腔室10的内表面既水平又垂直的多个进气口,如下文所论述。类似地,排气气室34及44中的每一个包括沿腔室10的相对内表面既水平又垂直布置的多个排气出口。在一个实施例中,批式处理区域30特别适于批式臭氧硬化操作,且臭氧(O3)、氧(O2)及氮(N2)的源耦接至气体气室32,而批式处理区域40特别适于蒸汽退火操作,且分子态氮(N2)、氧(O2)及蒸汽(H2O)的源耦接至气体气室42。
腔室10的真空泵及密封性质使区域30及40中的每一个内的真空处理能够在所要压力下得以实现,所述压力是基于每一区域中执行的基板处理操作来选择的。作为特定示例,在一个实施例中,所述真空泵将所述腔室抽气至约600Torr以用于臭氧硬化,且所述真空泵将所述腔室抽气至1至5Torr之间以用于腔室清洁步骤。
另外,远端等离子体系统50可安装至腔室10的上表面,且远端等离子体系统50可操作性耦接至清洁气体(例如,三氟化氮)的一或多个源。所述远端等离子体系统可流动性耦接至处理区域30及40,以便在腔室清洁操作期间将活性清洁物质引入处理区域30及40中的每一个中,以移除可在处理期间沉积于腔室10的内表面上的粒子。举例而言,在腔室30及40中分别进行的一个或多个批式硬化步骤和/或批式退火步骤之后,所述腔室清洁操作可定期发生。在一实施例中,在清洁步骤期间,在远端等离子体系统50内形成氩及NF3的等离子体,且活性清洁物质可从所述远端等离子体系统直接流动至处理区域30中。额外清洁气体(例如,更多NF3)亦可由气体气室32内的气管引入区域30中。
加热器(未图示)操作性耦接至加热室10,以用于硬化及退火操作(且必要时用于清洁操作)。所述加热器至少包括第一及第二独立控制加热区域,所述区域允许将处理区域30内的温度设定为与处理区域40内的温度不同的温度。独立温度感测器(未图示)经定位以感应出处理区域30及40中的每一者内的温度,且独立温度感测器可由计算机控制系统(未图示)使用,以视需求独立调整区域30及40中的每一个的温度。在一个实施例中,所述加热器包括耦接至外壁12的圆柱带式加热器以及耦接至所述腔室的顶壁12a及底壁12b的加热器件。如本领域普通技术人员所理解,在其它实施例中,可使用其他类型的加热器。又,在某些实施例中,可用热毯环绕腔室10及腔室10的加热器件,以将热损失减至最小。
另外,本发明的一些实施例在处理区域30的底部部分和/或在处理区域40的底部部分提供专用气管,所述气管可用于向那些处理区域提供经加热的氮气(N2),以补偿直接位于所述区域之下的温差。例如,在一些实例中,在处理区域40中实施的处理操作可在比区域30中实施的处理操作的设定温度高出100摄氏度或更多的温度下发生。即使分割器14及平板26及28在所述两个处理区域之间提供热绝缘,但为更好地补偿此温差,将多个专用进气口围绕直接位于分割器14之上的腔室10的内周边定位。可加热气体,且经由这些入口引入气体,以当在区域30中在高于区域40的温度的温度下处理晶圆时,在所述腔室的此区域中提供额外加热。或者,可经由这些入口引入室温气体或经冷却气体,以当在区域40中在低于区域30的温度的温度下处理晶圆时,在所述腔室的此区域中提供额外冷却。在另一实施例中,所述进气口可位于平板26及28中的任一者或两者内。
参看图2,图2为所安装的晶圆传送器20的上部部分的简化横截面图,多个半导体晶圆25可定位于所述晶圆传送器内。每一个别晶圆25通常为环状(例如,硅半导体晶圆),且由专用最小接触晶圆支撑件21支撑。在一个实施例中,晶圆支撑件21包括三个支撑件凸部21a、21b及21c,所述凸部在每一晶圆的外边缘附近支撑传送器20内可固持的每一晶圆。支撑件凸部21a至21c围绕晶圆传送器20的周边均匀隔开,如图3所示。在一个特定实施例中,晶圆传送器20固持三十个晶圆,且因此晶圆传送器20具有三十组晶圆支撑件凸部21a至21c。
晶圆传送器20进一步包括上部热绝缘平板26及下部热绝缘平板28。每一个热绝缘平板26及28的直径略大于传送器内所定位的晶圆的直径。图1图示处于下部位置的晶圆传送器20,在所述下部位置中可从传送器装卸晶圆,且在所述下部位置,一旦一批完整晶圆定位于传送器中,则可在下部处理区域40内处理所述一批晶圆。如图1所示,在此下部位置,上部热绝缘平板26的下表面与分割器14接触,以在区域40内处理晶圆25时,将下部处理区域40内的环境与上部处理区域30大体隔绝。
类似地,当藉由支座22及垂直致动器将晶圆传送器20升高至用于在上部处理区域30中处理晶圆25的位置时,下部热绝缘平板28的上表面与分割器14接触,以将上部处理区域30内的环境与下部处理区域40大体隔绝。此外,热绝缘平板26及28中的每一者可由具有低导热率的材料(例如,热塑胶材料或不锈钢)制成,以在腔室壁的顶表面12a及底表面12b中将晶圆25与加热器热隔绝。分割器14亦由类似的低导热率材料制成。因此,分割器14与上部热绝缘平板26或下部热绝缘平板28的组合有助于将处理区域30及40热隔绝,故而可将处理区域30及40维持在不同操作温度下。
参看图4及图5,图4为沿图1所示的线B-B'截取的硬化腔室10的简化横截面图,图5为气体气室32的简化横截面图,气体经由入口35进入气室32,且经由腔室内壁中所形成的多个进气口36在处理区域30中循环所述气体。在一个实施例中,衬垫38帮助等化气室各处的压力,以使气流在所有入口36处被均匀引入处理区域30中。在气体气室32对面,多个排气装置37在排气气室34的内壁中形成,且出气口39用于将气体从腔室10排出至真空前极管道(vacuum foreline)中。对于气体气室42及排气气室44而言,形成类似的气体分配布置。气体气室与排气气室之间的对立关系产生气流,所述气流从气体面板横过布置于晶圆传送器20中的每一个晶圆至排气气室。在一个实施例中,为确保横过传送器20中的每一晶圆表面的均匀气体分配,将图4所示的所述多个入口36及排气装置37以垂直堆迭的方式布置,入口36及排气装置37的数量等于晶圆传送器20经设置以固持的晶圆数量。因此,在传送器20固持30个晶圆的实施例中,存在30组进气口36及排气装置37,所述进气口36及排气装置37在每一个批式处理区域30及40中被隔开,且所述进气口36及排气装置37经定位以产生横过晶圆表面的均匀气流,所述晶圆位于晶圆传送器20的特定位置上。
如先前所提及,本发明的实施例特别适用于执行臭氧硬化操作。晶圆可直接从薄膜沉积或形成腔室(例如,其中沉积需要被硬化的掺碳的氧化物或其他薄膜)传送至批式处理区域40。若以每90秒一次一个晶圆地将晶圆传送至处理区域中,且传送器20固持30个晶圆,则将耗费超过30分钟来完全装满所述传送器。在一些实例中,在薄膜沉积之后不久仍可发生除气作用(outgassing),故处理区域40亦可充当固持区,将晶圆置于所述固持区中,直到除气作用已稳定至一点为止,在所述点上,来自传送至传送器20的最后晶圆的除气量与来自第一晶圆的除气量非常接近或一致,所述第一晶圆可具有先于最后晶圆30分钟沉积于所述第一晶圆上方的一层。在其他实施例中,将晶圆固持于单独固持区中,以允许除气作用的平衡,接着将晶圆传送至处理区域40中。
一旦晶圆就绪,则将传送器20上移至处理区域40,在处理区域40中,平板28与分割器14形成准密封。然后将晶圆进行臭氧硬化工艺。在一个实施例中,首先将氮气引入区域40中,以将晶圆加热至介于105至200℃之间的所要温度。然后,引入臭氧,以在介于200至700Torr之间的压力(在一特定实施例中为600Torr)下执行臭氧硬化。当完成硬化步骤时,可将所述晶圆传送器回降至处理区域30,且对所述晶圆进行较低温度蒸汽退火或其他后硬化处理工艺,或所述晶圆可全部从腔室10被传送至另一腔室。
硬化腔室10可操作性耦接至多腔室基板处理系统,诸如由应用材料公司制造的CenturaTM或ProducerTM系统。在此类系统中,进出门45(例如,流量阀)可对所述多腔室系统的内部腔室开启。晶圆可由机械手经由进出门45移动进出腔室10。图7图示此类系统的一个实例,在所述系统中可将腔室10整合至所述系统的前开式晶圆盒(front opening unified pod;FOUP)中的一个中。FOUP 402供应基板(例如,300mm直径晶圆),所述基板由机械臂404接收,且所述基板在被放入晶圆处理室408a至408f中的一个之前被放入低压固持区406中。第二机械臂410可用于将基板晶圆从固持区406传送至处理室408a至408f及传回。处理室408a至408f可包括一个或多个系统组件,所述系统组件用于在基板晶圆上沉积介电薄膜,或在每一个腔室408a至408f内执行其他基板处理晶圆。
尽管未在图1至图5中的任一者中图示,但本发明的一些实施例在一部分的腔室壁12内包含进气口通道或管道,所述进气口通道或管道适于将经加热或经冷却的不反应的气体(例如,N2)递送至分割器14周围的区域。当在不同温度下如此完成区域30及40中执行的工艺时,所述气体可在这些区域的通道内的腔室壁内循环,以补偿温度非均匀性。在此类实例中,例如,可使用此温度控制气流,以冷却下部腔室的上部部分,因此处理区域40中的所述腔室上部部分的温度可更接近地匹配处理区域40中的所述腔室下部部分的温度。
在腔室10中处理一批或多批晶圆之后,可藉由使远端等离子体系统50中产生的活性氟自由基流动进入腔室10来清洁所述腔室。通常将晶圆传送器20置放在中间位置,以使顶部热绝缘平板26或底部热绝缘平板28在清洁阶段期间皆不与分割器14接触。在此位置上,晶圆传送器的上部部分位于处理区域30中,而所述传送器的下部部分位于处理区域40中,且清洁气体从区域30围绕上部平板26自由流动至区域40中,以实现腔室10的上部部分与下部部分的清洁。
在已描述若干实施例后,本领域普通技术人员将认识到,在不脱离本发明的精神的情况下,可使用各种修饰例、替代构造及均等物。另外,并未描述大量熟知的工艺及器件,以避免不必要地遮蔽本发明。因此,不应将以上描述视为限制本发明的范畴。
如本文及所附权利要求书中所使用,除非本文另外明确指出,否则单数形式“一(a/an)”及“所述”包括多个指示物。因此,例如,提及“一工艺”包括多个此类工艺,且提及“所述前驱物”包括提及一个或多个前驱物及本领域普通技术人员所知的前驱物的均等物,等等。此外,用语“包括(comprise/comprising)”、“包括(include/including/includes)”在用于本说明书及所附权利要求书中时,意欲指定存在所叙述的特征结构、整数、组件或步骤,但所述用语并不排除存在或添加一个或多个其他特征结构、整数、组件、步骤、动作或群组。

Claims (13)

1.一种用于以批次模式处理多个晶圆的基板硬化腔室,所述腔室包括:
垂直对准外壳,所述外壳具有由内部分割器分隔的第一处理区域及第二处理区域,所述第一处理区域直接定位于所述第二处理区域上方;
多区域加热器,所述加热器操作性耦接至所述外壳,以加热彼此独立的所述第一处理区域及所述第二处理区域;
晶圆传送器,所述传送器适于固持所述第一处理区域或所述第二处理区域内的多个晶圆以用于处理;
第一气体分配系统及第二气体分配系统,所述第一气体分配系统适于经由所述第一处理区域引入处理气体,所述第二气体分配系统适于经由所述第二处理区域引入处理气体;
排气系统,所述排气系统经设置以排出被引入所述第一处理区域及所述第二处理区域中的处理气体;
支座,所述支座操作性耦接至所述晶圆传送器,以将所述晶圆传送器传送至上部位置及下部位置,在所述上部位置中将所述多个晶圆定位于所述第二处理区域中,且在所述下部位置中将所述多个晶圆定位于所述第一处理区域中;以及
进出门,可在开启位置与闭合密封位置之间移动所述进出门,在所述开启位置中可将晶圆装载至所述晶圆传送器上且从所述晶圆传送器移除晶圆。
2.如权利要求1所述的基板硬化腔室,进一步包括远端等离子体系统,所述远端等离子体系统经操作性耦接以将活性清洁物质引入所述硬化腔室中。
3.如权利要求1所述的基板硬化腔室,其中所述支座经操作性耦接以在基板处理期间旋转所述晶圆传送器。
4.如权利要求1所述的基板硬化腔室,其中所述晶圆传送器固持多个晶圆,每一晶圆在一连续水平位置上被支撑在支柱上,所述支柱围绕相应晶圆的外周边布置。
5.如权利要求1所述的基板硬化腔室,其中所述晶圆传送器包括顶部热绝缘平板及底部热绝缘平板,可移动所述平板,使所述平板与所述分割器接触,以在基板处理期间将所述第一处理区域与所述第二处理区域之间的流体流通最少化。
6.如权利要求1所述的基板硬化腔室,其中所述第一气体分配系统经设置以在所述第一处理区域中引入蒸汽及执行蒸汽退火,且所述第二气体分配系统经设置以在所述第二处理区域中引入臭氧及执行臭氧硬化。
7.如权利要求1所述的基板硬化腔室,进一步包括一个或多个专用进气口,以在接近所述第一处理区域及所述第二处理区域的一边界的位置处引入温度控制气体。
8.如权利要求1所述的基板硬化腔室,其中所述晶圆传送器固持三十个晶圆,所述三十个晶圆垂直堆迭在所述传送器内。
9.如权利要求1所述的基板硬化腔室,其中所述第二气体分配系统包括多个进气口,所述进气口围绕所述腔室的内周边的一部分布置,且所述排气系统包括多个排气出口,所述排气出口围绕与所述多个进气口相对的所述腔室的内周边的一部分布置。
10.如权利要求1所述的基板硬化腔室,其中所述晶圆传送器将所述多个晶圆固持于所述传送器内的多个垂直对准的晶圆位置中,且对于每一晶圆位置而言,所述第二气体分配系统包括多个进气口,所述进气口布置在与相应晶圆位置对准的位置处且围绕所述腔室的所述内周边的一部分,且所述排气系统包括多个排气出口,在与所述相应晶圆位置对准的所述多个进气口相对处,所述排气出口围绕所述腔室的所述内周边的一部分布置。
11.如权利要求1所述的基板硬化腔室,其中所述进出门操作性耦接至所述第一处理区域中的所述腔室。
12.一种用于以批次模式处理多个晶圆的基板处理腔室,所述腔室包括:
垂直对准外壳,所述外壳具有由内部分割器分隔的第一处理区域及第二处理区域,所述第一处理区域直接定位于所述第二处理区域上方;
多区域加热器,所述加热器操作性耦接至所述外壳,以加热彼此独立的所述第一处理区域及所述第二处理区域;
晶圆传送器,所述传送器适于固持所述处理腔室内的多个晶圆,及在所述第一处理区域与所述第二处理区域之间垂直移动;
气体分配系统,所述气体分配系统适于将臭氧引入所述第二区域中,及将蒸汽引入所述第一处理区域中;以及
排气系统,所述排气系统经设置以排出被引入所述第一处理区域及所述第二处理区域中的气体。
13.如权利要求12所述的基板处理腔室,进一步包括进出门,所述进出门操作性耦接至所述腔室,以允许在所述传送器定位于所述第一处理区域中时,将晶圆传送至所述晶圆传送器以及从所述晶圆传送器传送晶圆。
CN201180027803.0A 2010-06-16 2011-06-16 装载闸批式臭氧硬化 Active CN102934214B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US35552710P 2010-06-16 2010-06-16
US61/355,527 2010-06-16
PCT/US2011/040713 WO2011159905A2 (en) 2010-06-16 2011-06-16 Loadlock batch ozone cure

Publications (2)

Publication Number Publication Date
CN102934214A true CN102934214A (zh) 2013-02-13
CN102934214B CN102934214B (zh) 2016-01-27

Family

ID=45348869

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180027803.0A Active CN102934214B (zh) 2010-06-16 2011-06-16 装载闸批式臭氧硬化

Country Status (7)

Country Link
US (1) US8524004B2 (zh)
JP (1) JP2013530536A (zh)
KR (1) KR101891292B1 (zh)
CN (1) CN102934214B (zh)
SG (1) SG185588A1 (zh)
TW (1) TWI529775B (zh)
WO (1) WO2011159905A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016029700A1 (zh) * 2014-08-29 2016-03-03 沈阳拓荆科技有限公司 一种通过真空装载腔为晶圆预热的装置及方法

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
CN103594401B (zh) * 2012-08-16 2018-05-22 盛美半导体设备(上海)有限公司 载锁腔及使用该载锁腔处理基板的方法
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
WO2015132830A1 (ja) * 2014-03-04 2015-09-11 キヤノンアネルバ株式会社 真空処理装置及び真空処理方法
US10113236B2 (en) 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20180258519A1 (en) * 2015-10-25 2018-09-13 Applied Materials, Inc. Apparatus for vacuum deposition on a substrate and method for masking the substrate during vacuum deposition
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
CN110612596B (zh) * 2017-04-13 2023-08-15 应用材料公司 用于沉积低介电常数膜的方法与设备
KR102445736B1 (ko) * 2020-12-30 2022-09-21 주식회사 테스 기판세정장치

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003100621A (ja) * 2001-09-27 2003-04-04 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2003209152A (ja) * 2001-12-28 2003-07-25 Applied Materials Inc ワークピース処理チャンバ
JP2006228974A (ja) * 2005-02-17 2006-08-31 Tokyo Electron Ltd 半導体製造装置及び半導体製造方法
WO2009079845A1 (en) * 2007-12-20 2009-07-02 Applied Materials, Inc. Staggered dual proess chambers using one single facet on a transfer module
JP2010123752A (ja) * 2008-11-19 2010-06-03 Hitachi Kokusai Electric Inc 基板処理装置
JP2010520649A (ja) * 2007-03-06 2010-06-10 東京エレクトロン株式会社 高スループットの非プラズマ処理を行う処理システム及び方法

Family Cites Families (197)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
DE10196026B4 (de) 2000-04-04 2011-02-10 Asahi Kasei Kabushiki Kaisha Überzugs-Zusammensetzung, dünner Film, zur Verwendung des dünnen Films und Verfahren zur Herstellung eines dünnen porösen Kieselsäure-Films
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
JP2007528640A (ja) 2003-12-17 2007-10-11 セドラエウス インコーポレーテッド ランダムベースの意志決定プロセスを使用する方法
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
JP4678304B2 (ja) 2004-02-17 2011-04-27 東亞合成株式会社 シリコン酸化膜の製造方法
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
EP1751325A4 (en) 2004-06-04 2009-05-13 Applied Microstructures Inc STEAM-PHASE DEPOSITION CONTROLLING MULTILAYER COATINGS BONDED BY OXIDE LAYER
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
WO2007008653A2 (en) 2005-07-08 2007-01-18 Aviza Technology, Inc. Method for depositing silicon-containing films
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003100621A (ja) * 2001-09-27 2003-04-04 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2003209152A (ja) * 2001-12-28 2003-07-25 Applied Materials Inc ワークピース処理チャンバ
JP2006228974A (ja) * 2005-02-17 2006-08-31 Tokyo Electron Ltd 半導体製造装置及び半導体製造方法
JP2010520649A (ja) * 2007-03-06 2010-06-10 東京エレクトロン株式会社 高スループットの非プラズマ処理を行う処理システム及び方法
WO2009079845A1 (en) * 2007-12-20 2009-07-02 Applied Materials, Inc. Staggered dual proess chambers using one single facet on a transfer module
JP2010123752A (ja) * 2008-11-19 2010-06-03 Hitachi Kokusai Electric Inc 基板処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016029700A1 (zh) * 2014-08-29 2016-03-03 沈阳拓荆科技有限公司 一种通过真空装载腔为晶圆预热的装置及方法

Also Published As

Publication number Publication date
TWI529775B (zh) 2016-04-11
CN102934214B (zh) 2016-01-27
KR20130087502A (ko) 2013-08-06
WO2011159905A3 (en) 2012-04-19
US20120145079A1 (en) 2012-06-14
SG185588A1 (en) 2012-12-28
KR101891292B1 (ko) 2018-08-24
WO2011159905A2 (en) 2011-12-22
JP2013530536A (ja) 2013-07-25
US8524004B2 (en) 2013-09-03
TW201209880A (en) 2012-03-01

Similar Documents

Publication Publication Date Title
CN102934214B (zh) 装载闸批式臭氧硬化
US11408075B2 (en) Batch curing chamber with gas distribution and individual pumping
US9617640B2 (en) Apparatus and methods for injector to substrate gap control
US9349620B2 (en) Apparatus and method for pre-baking substrate upstream of process chamber
CN103168347A (zh) 用于臭氧硬化及硬化后的湿气处理的模块
US20140076494A1 (en) Processing system
TW201639984A (zh) 多區反應器,包含該反應器的系統及使用該反應器的方法
TWI795570B (zh) 半導體多站處理腔體
JP2012023073A (ja) 基板処理装置および基板の製造方法
US20120312234A1 (en) Process gas diffuser assembly for vapor deposition system
US20120015113A1 (en) Methods for forming low stress dielectric films
WO2016019120A1 (en) Multi-substrate thermal management apparatus
CN114144540A (zh) 用于在基板上形成膜的蒸发器腔室
US20030175426A1 (en) Heat treatment apparatus and method for processing substrates
KR20170007611A (ko) 퍼니스형 반도체 장치, 이의 세정 방법 및 이를 이용한 박막 형성 방법
JP2004111787A (ja) 基板処理装置
TW578215B (en) Method to produce components or its inter-products, vacuum-processing equipment and ultra-high-vacuum CVD-reactor
JP2004339566A (ja) 基板処理装置
KR20230130775A (ko) 처리 장치, 배기 시스템 및 반도체 장치의 제조 방법
TW201732935A (zh) 基板脫氣腔室
US20140116339A1 (en) Process gas diffuser assembly for vapor deposition system
CN112640078A (zh) 用于基板处理腔室的气体输入系统

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant