JP2013530536A - ロードロックバッチオゾン硬化 - Google Patents

ロードロックバッチオゾン硬化 Download PDF

Info

Publication number
JP2013530536A
JP2013530536A JP2013515517A JP2013515517A JP2013530536A JP 2013530536 A JP2013530536 A JP 2013530536A JP 2013515517 A JP2013515517 A JP 2013515517A JP 2013515517 A JP2013515517 A JP 2013515517A JP 2013530536 A JP2013530536 A JP 2013530536A
Authority
JP
Japan
Prior art keywords
processing region
processing
chamber
gas
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2013515517A
Other languages
English (en)
Inventor
ドミトリー ルボミルスキー,
ジェイ ディー., ザ セカンド ピンソン,
カービィ エイチ. フロイド,
アディーブ カーン,
シャンカー ヴェンカタラマン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013530536A publication Critical patent/JP2013530536A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

バッチモードで複数のウエハを処理するための基板処理チャンバ。一実施形態では、チャンバは、内部分割部材によって分離された第1の処理領域と第2の処理領域を有する縦並び型のハウジングであって、第1の処理領域が第2の処理領域のすぐ上に位置決めされるハウジングと、第1の処理領域と第2の処理領域をそれぞれ個別に加熱するために、ハウジングに動作可能に結合されたマルチゾーンヒータと、処理チャンバ内部に複数のウエハを保持し、第1の処理領域と第2の処理領域の間で垂直方向に移動するウエハ輸送装置と、第2の処理領域にオゾンを導入し、第1の処理領域に蒸気を導入するガス分散システムと、第1および第2の処理領域内に導入されるガスを排気するガス排気システムとを含む。

Description

(関連出願の相互参照)
本出願は、2010年6月16日出願の米国仮特許出願第61/355,527号の利益を主張するものであり、この仮特許出願は、参照により本明細書に組み込まれる。
半導体デバイスが数十年前に導入されて以来、半導体デバイスの幾何形状は、サイズが劇的に縮小している。現代の半導体製造機器は、日常的に、250nm、180nm、および65nmのフィーチャサイズを有するデバイスを製造しており、さらに小さい幾何形状を有するデバイスを作製するために新規の機器が開発および実装されている。フィーチャサイズの縮小により、デバイス上の構造フィーチャがより小さい空間的寸法を有する。寸法が小さくなると、非常に低い抵抗を有する導電性材料と、非常に低い誘電率を有する断熱性材料との使用が必要となる。
低誘電率の膜は、プリメタル誘電体(PMD)層および金属間誘電体(IMD)層に特に望ましく、相互接続メタライゼーションのRC時間遅延を短縮し、異なるレベルのメタライゼーション間のクロストークを防止し、デバイス電力消費を減少させる。初期のCVD技法を使用して堆積された非ドープの酸化ケイ素膜は、典型的には、4.0〜4.2の範囲内の誘電率(k)を有していた。対照的に、半導体産業で現在一般的に使用されている様々な炭素ベースの誘電体層は、3.0未満の誘電率を有する。これらの炭素ベースの層の多くは、最初に堆積されたときには比較的不安定であり、その後、膜の安定性を高めるために酸素環境内で硬化および/またはアニールされる。
本発明の実施形態は、ウエハのバッチを同時に硬化するチャンバに関する。チャンバは、複数の基板を支持するウエハ輸送装置によってそれぞれ基板を供給される第1のバッチ処理領域と第2のバッチ処理領域を含み、各基板は、平行に重ねて配置された専用のウエハ支持体上に位置決めされる。一実施形態では、第1のバッチ処理領域は、第2のバッチ処理領域のすぐ下にあり、ウエハ輸送装置は、第1の処理領域と第2の処理領域の間で輸送装置を昇降させる回転ペデスタルに動作可能に結合される。
第1のバッチ処理領域内と第2のバッチ処理領域内で様々な異なる処理操作を行うことができるが、本発明のいくつかの実施形態は、第2のバッチ処理領域内で、高温(例えば、摂氏100〜200度)、加圧(例えば、200〜700Torr)、オゾン硬化プロセスを可能にし、第1のバッチ処理領域内で、NO蒸気アニールプロセスを可能にする。さらに、第1のバッチ処理領域は、ウエハをチャンバ内にロードする、およびチャンバからアンロードするために使用される。
一実施形態では、本発明は、バッチモードで複数のウエハを処理するためのチャンバに関する。チャンバは、内部分割部材によって分離された第1の処理領域と第2の処理領域を有する縦並び型の(vertically aligned)ハウジングであって、第1の処理領域が第2の処理領域のすぐ上に位置決めされるハウジングと、第1の処理領域と第2の処理領域をそれぞれ個別に加熱するために、ハウジングに動作可能に結合されたマルチゾーンヒータと、処理チャンバ内部に複数のウエハを保持し、第1の処理領域と第2の処理領域の間で垂直方向に移動するウエハ輸送装置と、第2の処理領域にオゾンを導入し、第1の処理領域に蒸気を導入するガス分散システムと、第1および第2の処理領域内に導入されるガスを排気するガス排気システムとを含む。
別の実施形態では、バッチモードで複数のウエハを処理するための基板硬化チャンバであって、内部分割部材によって分離された第1の処理領域と第2の処理領域を有する縦並び型のハウジングであって、第1の処理領域が第2の処理領域のすぐ上に位置決めされるハウジングと、第1の処理領域と第2の処理領域をそれぞれ個別に加熱するために、ハウジングに動作可能に結合されたマルチゾーンヒータと、処理のために、第1の処理領域または第2の処理領域の内部に複数のウエハを保持するウエハ輸送装置と、第1の処理領域を通してプロセスガスを導入する第1のガス分散システム、および第2の処理領域を通してプロセスガスを導入する第2のガス分散システムと、第1および第2の処理領域内に導入されるプロセスガスを排気するガス排気システムと、複数のウエハが第2の処理領域内に位置決めされる上側位置と、複数のウエハが第1の処理領域内に位置決めされる下側位置とにウエハ輸送装置を移動させるために、ウエハ輸送装置に動作可能に結合されたペデスタルと、ウエハをウエハ輸送装置にロードする、およびウエハ輸送装置から取り外すことができる開いた位置と、閉じた封止位置との間で移動させることができるアクセスドアとを含む基板硬化チャンバが提供される。
さらなる実施形態および特徴は、一部は、以下の説明で述べ、一部は、本明細書を検討すれば当業者に明らかであり、あるいは本発明の実施によって知ることができる。さらに、本明細書の残りの部分および図面を参照すれば、本発明の性質および利点をさらに理解することができる。図面中、複数の図を通して、同様の構成要素を表すために同じ参照番号が使用されている。
本発明の一実施形態による硬化チャンバの簡略断面図である。 図1に示されるウエハ輸送装置20およびペデスタル22の簡略断面図である。 図1に示される線A−A’に沿って取られたウエハ輸送装置20の簡略断面図である。 図1に示される線B−B’に沿って取られた硬化チャンバ10の簡略断面図である。 本発明の一実施形態による、図1に示されるガスプレナム32の簡略断面図である。 本発明によるバッチ硬化チャンバを含む例示的なマルチチャンバ基板処理システムの簡略例示図である。
図1は、本発明の一実施形態による硬化チャンバ10の簡略断面図である。本明細書で述べるように、チャンバ10は、縦型であり、第1のバッチ処理領域30と第2のバッチ処理領域40を含む。複数のウエハ(すなわち、ウエハのバッチ)を内部に保持するウエハ輸送装置20によって、ウエハが各バッチ処理領域30および40に送給される。一実施形態では、ウエハ輸送装置は回転ペデスタル22上に取り付けられ、回転ペデスタル22は、基板処理操作中にウエハのバッチが処理領域30および40内部で回転できるようにする。
ペデスタル22は、さらに垂直アクチュエータ24に動作可能に結合され、垂直アクチュエータ24は、以下に述べるようにウエハ輸送装置20を処理領域40内に上昇させる、および処理領域40から引き戻す。スリットバルブ45により、ウエハ輸送装置20が領域40内部に位置決めされたときに、インデクサ(図示せず)に結合されたロボット(これも図示せず)がウエハ輸送装置20から個々のウエハをロードおよびアンロードできるようになる。ウエハを輸送装置20内にロードするために、インデクサがロボットを所望の位置に上昇または下降させ、次いでロボットがスリットバルブ45を通って延び出て、個々のウエハを輸送装置20内部のウエハ支持体上に配置する。一実施形態では、ウエハは、ウエハ輸送装置20が満杯になるまで、1度に1枚ずつ、ウエハ輸送装置20内部の空のウエハ支持体上にロード(およびアンロード)される。別の実施形態では、ロボットは、それぞれ1枚のウエハを保持する複数の個別のアームを含み、複数のウエハを1度に輸送装置20内にロード(およびアンロード)することができる。
チャンバ10は、処理領域30および40を取り囲む外壁12と、処理領域30と40の分離境界を印付ける内部分割部材14とを含む。分割部材14は内部間隙を有し、この内部間隙により、分割部材を通してウエハ輸送装置20を昇降させることができるようにする。後で論じるように、ウエハ輸送装置20の上部または底部が分割部材14と位置合わせされるとき、擬似シールが生成され、この擬似シールは、領域30から領域40への、および逆方向のガスの流れを抑制するが、しかし完全には妨げない。一実施形態では、垂直アクチュエータ24によってウエハ輸送装置が一方の処理領域から他方の処理領域に移動されるときに生じることがある圧力勾配によりウエハ輸送装置に対して誘発されることがある大きな力を防止するために、第1のバッチ処理領域と第2のバッチ処理領域の間に圧力平衡ライン(図示せず)が延在する。
ガスは、ガスプレナム32を通してバッチ処理領域30内に導入することができ、排気プレナム34を通してバッチ処理領域30から排気することができる。同様に、ガスは、ガスプレナム42を通してバッチ処理領域40内に導入することができ、排気プレナム44を通してバッチ処理領域40から排気することができる。以下に論じるように、各ガスプレナム32および42は、水平方向と垂直方向の両方でチャンバ10の内面に沿って複数のガス入口を含む。同様に、各排気プレナム34および44は、水平方向と垂直方向の両方でチャンバ10の向かい側の内面に沿って複数の排気出口を含む。一実施形態では、バッチ処理領域30は、特にバッチオゾン硬化操作に適合され、オゾン(O)、酸素(O)、および窒素(N)源がガスプレナム32に結合され、バッチ処理領域40は、特に蒸気アニール操作に適合され、分子窒素(N)、酸素(O)、および蒸気(HO)源がガスプレナム42に結合される。
真空ポンプ、およびチャンバ10の封止性が、各領域30および40内で行われる基板処理操作に基づいて選択される所望の圧力で、各領域30および40内部での真空処理を可能にする。特定の例として、一実施形態では、真空ポンプは、オゾン硬化に関してはチャンバを約600Torrまで真空化し、チャンバ洗浄ステップに関しては1〜5Torrの間まで真空化する。
さらに、遠隔プラズマシステム50をチャンバ10の上面に取り付けて、1つまたは複数の洗浄ガス源(例えば、三フッ化窒素源)に動作可能に結合させることができる。遠隔プラズマシステムは、処理領域30および40に流体結合させることができ、チャンバ洗浄操作中、活性化された洗浄種を各処理領域30および40内に導入して、処理中にチャンバ10の内面に堆積することがある粒子を除去する。チャンバ洗浄操作は、例えば、それぞれ処理領域30および40内での1回または複数回のバッチ硬化ステップおよび/またはバッチアニーリングステップの後に、定期的に行うことができる。一実施形態では、洗浄ステップ中、遠隔プラズマシステム50内部でアルゴンおよびNFのプラズマが生成され、活性化された洗浄種は、遠隔プラズマシステムから処理領域30内に直接流れることができる。また、ガスプレナム32内部のガスラインによって、追加の洗浄ガス(例えば、より多くのNF)を領域30内に導入することもできる。
硬化操作およびアニーリング操作のために(さらに望みであれば洗浄操作のために)、チャンバ10を加熱するためにヒータ(図示せず)が動作可能に結合される。ヒータは、個別に制御される第1の加熱区域と第2の加熱区域を少なくとも含み、これらの加熱区域は、処理領域30内部の温度を処理領域40の温度とは異なる温度に設定できるようにする。各処理領域30および40内部の温度を感知するために個別の温度センサ(図示せず)が位置決めされ、これらの温度センサをコンピュータ制御システム(図示せず)が使用して、必要に応じて各領域30および40の温度を個別に調節することができる。一実施形態では、ヒータは、チャンバの上壁12aおよび底壁12bに結合された加熱要素と共に、外壁12に結合された円筒形バンドヒータを含む。当業者に理解されるように、他の実施形態では、他のタイプのヒータを使用することができる。また、いくつかの実施形態では、熱損失を最小限に抑えるために、チャンバ100およびその加熱要素の周りに熱ブランケットを巻き付けることができる。
さらに、本発明のいくつかの実施形態は、処理領域30の底部および/または処理領域40の底部に専用ガスラインを提供し、この専用ガスラインを使用して、加熱された窒素(N)をそれらの処理領域に提供して、それらの領域のすぐ下での温度の差を補償する。一例として、いくつかの例では、処理領域40内で実施される処理操作は、摂氏100度の温度で、または領域30内で実施される処理操作の設定温度よりも高い温度で行うことができる。分割部材14ならびにプレート26および28が2つの処理領域間の断熱を提供するにせよ、この温度差をより良く補償するために、分割部材14のすぐ上で、チャンバ10の内周縁に巡らせて複数の専用ガス入口が位置される。領域30内で、領域40の温度よりも高い温度でウエハが処理されているときに、チャンバのこの領域にさらなる熱を提供するために、ガスを加熱して、これらの入口を通して導入することができる。あるいは、領域40内で、領域30の温度よりも低い温度でウエハが処理されているときに、チャンバのこの領域で追加の冷却を提供するために、これらの入口を通して、室温のガスまたは冷却されたガスを導入することができる。別の実施形態では、ガス入口は、プレート26と28の一方または両方に位置させることができる。
取り付けられたウエハ輸送装置20の上部の簡略断面図である図2を参照すると、複数の半導体ウエハ25をウエハ輸送装置内部に位置決めすることができる。個々のウエハ25は、一般に円形(例えば、シリコン半導体ウエハ)であり、専用の最小接触ウエハ支持体21によって支持される。一実施形態では、ウエハ支持体21は、3つの支持レッジ21a、21b、および21cを含み、これらの支持レッジ21a、21b、および21cは、輸送装置20内部に保持することができる各ウエハを、ウエハの外縁部の近くで支持する。図3に示されるように、支持レッジ21a〜21cは、ウエハ輸送装置20の周縁に、均等に間隔を空けて配置される。1つの特定の実施形態では、ウエハ輸送装置20が30枚のウエハを保持し、したがって30組のウエハ支持レッジ21a〜21cを有する。
ウエハ輸送装置20は、さらに、上側断熱プレート26と下側断熱プレート28を含む。各断熱プレート26および28は、輸送装置内部に位置決めされるウエハの直径よりもわずかに大きい直径を有する。図1は、ウエハを輸送装置にロードすることおよび輸送装置からアンロードすることができる下側位置でウエハ輸送装置20を示し、ここで、ウエハのバッチが完全に輸送装置内に位置決めされると、下側処理領域40内部で処理することができる。図1に示されるように、この下側位置では、上側断熱プレート26の下面が分割部材14と接触して、ウエハ25が領域40内部で処理されている間に下側処理領域40内部の環境を上側処理領域30からほぼ断熱する。
同様に、ペデスタル22および垂直アクチュエータによって、上側処理領域30内でウエハ25を処理するための位置にウエハ輸送装置20を上昇させると、下側断熱プレート28の上面が分割部材14と接触して、上側処理領域30内部の環境を下側処理領域40からほぼ断熱する。さらに、各断熱プレート26および28は、低い熱伝導率を有する材料(例えば、熱可塑性材料またはステンレス鋼)から形成することができ、チャンバ壁の上面12aおよび底面12bにあるヒータからウエハ25を断熱する。また、分割部材14も、同様の低い熱伝導率の材料から形成される。したがって、分割部材14と上側または下側断熱プレート26、28との組合せが、処理領域30および40を断熱する助けとなり、それにより、処理領域30と処理領域40を異なる動作温度で維持することができる。
図1に示される線B−B’に沿って取られた硬化チャンバ10の簡略断面図である図4、およびガスプレナム32の簡略断面図である図5を参照すると、ガスは、入口35を通ってプレナム32に入り、チャンバの内壁に形成された複数のガス入口36を通して処理領域30内に循環される。一実施形態では、ライナ38が、プレナムのすべての点での圧力を平衡させる助けとなり、ガス流は、すべての入口36で処理領域30内に均等に導入される。ガスプレナム32の向かい側で、複数のガス排気口37が排気プレナム34の内壁に形成され、ガス出口39を使用してガスをチャンバ10から真空フォアラインに排気する。同様のガス分散構成が、ガスプレナム42および排気プレナム44に関しても形成される。ガスプレナムと排気プレナムとの向かい合わせの関係が、ウエハ輸送装置20に配置された各ウエハにわたる、ガスプレナムから排気プレナムへのガスの流れを生成する。輸送装置20内の各ウエハの表面にわたる均一なガス分散を保証するために、一実施形態では、図4に示される複数の入口36およびガス排気口37は、輸送装置20が保持するように構成されているウエハの数と同数だけ、垂直方向に並べて設けられる。したがって、輸送装置20が30枚のウエハを保持する実施形態では、30組のガス入口36およびガス排気口37が存在し、ガス入口36およびガス排気口37は、各バッチ処理領域30および40内に間隔を空けて設けられ、ウエハ輸送装置20の特定の位置に位置されたウエハの表面にわたって均一なガス流を生成するように位置決めされる。
前述したように、本発明の実施形態は、オゾン硬化操作を行うのに特によく適している。ウエハは、膜堆積または形成チャンバ(例えば、このチャンバ内で、硬化する必要がある炭素がドープされた酸化物または他の膜が堆積される)からバッチ処理領域40に直接移送させることができる。90秒ごとに1度に1枚ずつウエハが処理領域内に移送され、輸送装置が30枚のウエハを保持する場合、輸送装置を満杯にするために30分以上かかる。いくつかの例では、膜堆積の直後には依然として脱ガスが生じていることがあり、したがって、処理領域40は、輸送装置20に移送された最後のウエハからの脱ガスの量が、最後のウエハよりも30分前に堆積された層を有していることがある最初のウエハからの脱ガスの量に非常に近くなるまたは同じになるほどに脱ガスが安定化されるまで、ウエハが留まる保持領域としても働くことができる。他の実施形態では、ウエハは、脱ガスを平衡させるために別の保持領域内に保持され、その後、処理領域40内に移送される。
ウエハの準備が完了すると、輸送装置20は、次いで、プレート28が分割部材14と擬似シールを形成するまで処理領域40に向けて上昇する。次いで、ウエハにオゾン硬化プロセスを施すことができる。一実施形態では、摂氏105度〜200度の間の所望の温度にウエハを加熱するために、まず窒素が領域40に導入される。次いで、オゾンが導入されて、200〜700Torrの間(1つの特定の実施形態では600Torr)の圧力でオゾン硬化を行う。硬化ステップが完了したとき、ウエハ輸送装置を下降させて処理領域30に戻すことができ、より低い温度での蒸気アニールまたは他の硬化後処理プロセスをウエハに施すことができる。あるいは、ウエハがチャンバ10から別のチャンバにまとめて移送されることもある。
硬化チャンバ10は、Applied Materialsが製造しているCentura(商標)またはProducer(商標)システムなどのマルチチャンバ基板処理システムに動作可能に結合させることができる。そのようなシステムでは、アクセスドア45(例えばスリットバルブ)が、マルチチャンバシステムの内部チャンバに対して開くことがある。ウエハは、ロボットによって、アクセスドア45を通してチャンバ10の内外に移動させることができる。図6は、チャンバ10をシステムのフープ(FOUP;front opening unified pods)の1つに組み込むことができるそのようなシステムの一例を示す。フープ402が基板(例えば直径30mmのウエハ)を供給し、これらの基板は、ロボットアーム404によって受け取られ、低圧保持領域406内に配置され、その後、ウエハ処理チャンバ408a〜fの1つに配置される。第2のロボットアーム410を使用して、基板ウエハを保持領域406から処理チャンバ408a〜fに輸送する、また逆に戻すことができる。処理チャンバ408a〜fは、基板ウエハ上に誘電体膜を堆積するため、または各チャンバ408a〜f内部で他の基板処理を実施するための1つまたは複数のシステム構成要素を含むことがある。
図1〜図5のいずれにも図示されていないが、本発明のいくつかの実施形態は、チャンバ壁12の一部分の内部にガス流入チャネルまたは管を含み、このガス流入チャネルまたは管は、加熱または冷却された非反応性ガス(例えば、N)を分割部材14の周囲の領域に送給する。ガスは、これらの領域で、チャンバ壁内部においてチャネル内で循環させることができ、領域30内と領域40内で行われるプロセスが異なる温度で行われるときに温度の不均一性を補償する。そのような例では、この温度制御ガスの流れを使用して、例えば、処理領域40内でのチャンバの上部の温度が処理領域40内でのチャンバの下部の温度により近くなるように下側チャンバの上部を冷却することができる。
チャンバ10内でウエハの1つまたは複数のバッチを処理した後、遠隔プラズマシステム50内で生成された活性化されたフッ素ラジカルをチャンバ10内に流すことによってチャンバを洗浄することができる。ウエハ輸送装置20は、概して中間の位置に配置され、洗浄段階中に上側断熱プレート26も下側断熱プレート28も分割部材14に接触しないようにする。そのような位置では、ウエハ輸送装置の上部は処理領域30内にあり、輸送装置の下部は処理領域40内にあり、洗浄ガスは、領域30から上側プレート26の周りを通って領域40内に自由に流れて、チャンバ10の上部と下部の両方で洗浄を行う。
いくつかの実施形態を説明してきたが、本発明の精神から逸脱することなく、様々な修正形態、代替構成、および均等形態を使用することができることを当業者は理解されよう。さらに、本発明が不要に曖昧にならないように、いくつかのよく知られているプロセスおよび要素は説明していない。したがって、上記の説明は、本発明の範囲を限定するものとみなすべきではない。
本明細書で使用するとき、および添付の特許請求の範囲において、文脈上そうでないことが明らかな場合を除き、単数形は、複数の対象物も含む。したがって、例えば、「プロセス」への言及は、複数のそのようなプロセスを含み、「前駆体」への言及は、1つまたは複数の前駆体、および当業者に知られているそれらの前駆体の均等物への言及を含む。また、本明細書および添付の特許請求の範囲で使用するとき、用語「備える」および「含む」ならびにそれらの活用形は、指定される特徴、整数、構成要素、またはステップの存在を特定することを意図されているが、1つまたは複数の他の特徴、整数、構成要素、ステップ、作用、またはグループの存在または追加も排除しない。

Claims (13)

  1. バッチモードで複数のウエハを処理するための基板硬化チャンバであって、
    内部分割部材によって分離された第1の処理領域と第2の処理領域とを有する縦並び型のハウジングであって、前記第1の処理領域が前記第2の処理領域のすぐ上に位置決めされているハウジングと、
    前記第1の処理領域と前記第2の処理領域とをそれぞれ個別に加熱するために、前記ハウジングに動作可能に結合されたマルチゾーンヒータと、
    処理のために、前記第1の処理領域または前記第2の処理領域の内部に複数のウエハを保持するウエハ輸送装置と、
    前記第1の処理領域を通してプロセスガスを導入する第1のガス分散システム、および前記第2の処理領域を通してプロセスガスを導入する第2のガス分散システムと、
    前記第1および第2の処理領域内に導入されるプロセスガスを排気するガス排気システムと、
    前記複数のウエハが前記第2の処理領域内に位置決めされる上側位置と、前記複数のウエハが前記第1の処理領域内に位置決めされる下側位置とに前記ウエハ輸送装置を移動させるために、前記ウエハ輸送装置に動作可能に結合されたペデスタルと、
    ウエハを前記ウエハ輸送装置にロードする、および前記ウエハ輸送装置から取り外すことができる開いた位置と、閉じた封止位置との間で移動させることができるアクセスドアと
    を備えた基板硬化チャンバ。
  2. さらに、活性化された洗浄種を前記硬化チャンバ内に導入するように動作可能に結合された遠隔プラズマシステムを備えている、請求項1に記載の基板硬化チャンバ。
  3. 前記ペデスタルが、基板処理中に前記ウエハ輸送装置を回転させるように動作可能に結合されている、請求項1に記載の基板硬化チャンバ。
  4. 前記ウエハ輸送装置が複数のウエハを保持し、前記複数のウエハはそれぞれ、連続する水平位置で、それぞれのウエハの外周縁の周りに配置された支持ポスト上に支持される、請求項1に記載の基板硬化チャンバ。
  5. 前記ウエハ輸送装置が、上側断熱プレートおよび下側断熱プレートを含み、前記上側断熱プレートおよび下側断熱プレートを移動させて、基板処理中に前記第1の処理領域と前記第2の処理領域との間の流体連絡を最小限にする前記分割部材と接触させることができる、請求項1に記載の基板硬化チャンバ。
  6. 前記第1のガス分散システムが、前記第1の処理領域内に蒸気を導入して蒸気アニールを行うように構成されており、前記第2のガス分散システムが、前記第2の処理領域内にオゾンを導入してオゾン硬化を行うように構成されている、請求項1に記載の基板硬化チャンバ。
  7. さらに、前記第1の処理領域と前記第2の処理領域との境界の近くの位置に温度制御ガスを導入するための、1つまたは複数の専用ガス入口を備えている、請求項1に記載の基板硬化チャンバ。
  8. 前記ウエハ輸送装置が、前記輸送装置内部に垂直に積層された30枚のウエハを保持する、請求項1に記載の基板硬化チャンバ。
  9. 前記第2のガス分散システムが、前記チャンバの内周の一部分に巡らせて配置された複数のガス入口を備えており、前記ガス排気システムが、前記複数のガス入口の向かい側に、前記チャンバの内周の一部分に巡らせて配置された複数のガス排気出口を備えている、請求項1に記載の基板硬化チャンバ。
  10. 前記ウエハ輸送装置が、前記輸送装置内部で垂直方向に位置合わせされた複数のウエハ位置に複数のウエハを保持しており、各ウエハ位置について、前記第2のガス分散システムが、対応するウエハ位置に位置合わせされた位置に、前記チャンバの前記内周の一部分に巡らせて配置された複数のガス入口を備えており、前記ガス排気システムが、前記対応するウエハ位置に位置合わせされた前記複数のガス入口の向かい側に、前記チャンバの前記内周の一部分に巡らせて配置された複数のガス排気出口を備えている、請求項1に記載の基板硬化チャンバ。
  11. 前記アクセスドアが、前記第1の処理領域内で前記チャンバに動作可能に結合されている、請求項1に記載の基板硬化チャンバ。
  12. バッチモードで複数のウエハを処理するための基板処理チャンバであって、
    内部分割部材によって分離された第1の処理領域と第2の処理領域とを有する縦並び型のハウジングであって、前記第1の処理領域が前記第2の処理領域のすぐ上に位置決めされているハウジングと、
    前記第1の処理領域と前記第2の処理領域とをそれぞれ個別に加熱するために、前記ハウジングに動作可能に結合されたマルチゾーンヒータと、
    前記処理チャンバ内部で複数のウエハを保持し、前記第1の処理領域と前記第2の処理領域との間で垂直方向に移動するウエハ輸送装置と、
    前記第2の領域内にオゾンを導入し、前記第1の処理領域内に蒸気を導入するガス分散システムと、
    前記第1および第2の処理領域内に導入されたガスを排気するガス排気システムと
    を備えた基板処理チャンバ。
  13. さらに、前記輸送装置が前記第1の処理領域内に位置決めされているときに、前記ウエハ輸送装置に、および前記ウエハ輸送装置から、ウエハを移送できるように、前記チャンバに動作可能に結合されたアクセスドアを備えている、請求項12に記載の基板処理チャンバ。
JP2013515517A 2010-06-16 2011-06-16 ロードロックバッチオゾン硬化 Withdrawn JP2013530536A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US35552710P 2010-06-16 2010-06-16
US61/355,527 2010-06-16
PCT/US2011/040713 WO2011159905A2 (en) 2010-06-16 2011-06-16 Loadlock batch ozone cure

Publications (1)

Publication Number Publication Date
JP2013530536A true JP2013530536A (ja) 2013-07-25

Family

ID=45348869

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013515517A Withdrawn JP2013530536A (ja) 2010-06-16 2011-06-16 ロードロックバッチオゾン硬化

Country Status (7)

Country Link
US (1) US8524004B2 (ja)
JP (1) JP2013530536A (ja)
KR (1) KR101891292B1 (ja)
CN (1) CN102934214B (ja)
SG (1) SG185588A1 (ja)
TW (1) TWI529775B (ja)
WO (1) WO2011159905A2 (ja)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) * 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
CN103594401B (zh) * 2012-08-16 2018-05-22 盛美半导体设备(上海)有限公司 载锁腔及使用该载锁腔处理基板的方法
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6055575B2 (ja) * 2014-03-04 2016-12-27 キヤノンアネルバ株式会社 真空処理装置及び真空処理方法
US10113236B2 (en) 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
CN104269369A (zh) * 2014-08-29 2015-01-07 沈阳拓荆科技有限公司 一种通过真空装载腔为晶圆预热的装置及方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108138304A (zh) * 2015-10-25 2018-06-08 应用材料公司 用于在基板上真空沉积的设备和用于在真空沉积期间掩蔽基板的方法
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
WO2018191484A1 (en) * 2017-04-13 2018-10-18 Applied Materials, Inc. Method and apparatus for deposition of low-k films
KR102445736B1 (ko) * 2020-12-30 2022-09-21 주식회사 테스 기판세정장치

Family Cites Families (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
WO2001074957A1 (fr) 2000-04-04 2001-10-11 Asahi Kasei Kabushiki Kaisha Composition de revetement pour la production de films minces d'isolation
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
JP4194262B2 (ja) * 2001-09-27 2008-12-10 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003209152A (ja) * 2001-12-28 2003-07-25 Applied Materials Inc ワークピース処理チャンバ
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
WO2003090268A1 (fr) 2002-04-19 2003-10-30 Tokyo Electron Limited Procede de traitement de substrat et procede de production de dispositifs a semi-conducteurs
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
AU2004313262B2 (en) 2003-12-17 2009-06-04 Cedraeus Inc. Method for a random-based decision-making process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
WO2005078784A1 (ja) 2004-02-17 2005-08-25 Toagosei Co., Ltd. シリコン酸化膜の製造方法
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100762573B1 (ko) 2004-06-04 2007-10-01 어플라이드 마이크로스트럭쳐스, 인코포레이티드 산화물층에 의해 부착된 다층 코팅의 제어되는 기상 증착
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP4414910B2 (ja) * 2005-02-17 2010-02-17 東京エレクトロン株式会社 半導体製造装置及び半導体製造方法
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
US20080217293A1 (en) * 2007-03-06 2008-09-11 Tokyo Electron Limited Processing system and method for performing high throughput non-plasma processing
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
JP2011512020A (ja) * 2007-12-20 2011-04-14 アプライド マテリアルズ インコーポレイテッド 移送モジュール上の1つの単独ファセットを使用する互い違いの二重プロセスチャンバー
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
JP2010123752A (ja) * 2008-11-19 2010-06-03 Hitachi Kokusai Electric Inc 基板処理装置
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition

Also Published As

Publication number Publication date
CN102934214A (zh) 2013-02-13
TW201209880A (en) 2012-03-01
WO2011159905A2 (en) 2011-12-22
KR101891292B1 (ko) 2018-08-24
US8524004B2 (en) 2013-09-03
WO2011159905A3 (en) 2012-04-19
CN102934214B (zh) 2016-01-27
TWI529775B (zh) 2016-04-11
SG185588A1 (en) 2012-12-28
KR20130087502A (ko) 2013-08-06
US20120145079A1 (en) 2012-06-14

Similar Documents

Publication Publication Date Title
JP2013530536A (ja) ロードロックバッチオゾン硬化
US11408075B2 (en) Batch curing chamber with gas distribution and individual pumping
KR101709851B1 (ko) 성막 방법 및 성막 장치
TWI335618B (en) Substrate processing apparatus using a batch processing chamber
US20090120368A1 (en) Rotating temperature controlled substrate pedestal for film uniformity
US9285168B2 (en) Module for ozone cure and post-cure moisture treatment
KR20190137935A (ko) 진공 격리 및 사전-프로세싱 환경을 갖는 고압 어닐링 챔버
WO2006044021A1 (en) Substrate carrier for parallel wafer processing reactor
US20190226088A1 (en) High temperature faceplate with thermal choke and cooling
JP2012023073A (ja) 基板処理装置および基板の製造方法
US11674224B2 (en) Film forming method and film forming apparatus
TW202036199A (zh) 可控制溫度的處理腔室,電子裝置處理系統,及製造方法
TW202302904A (zh) 熱噴淋頭
KR20100127462A (ko) 다양한 공정 온도 조절이 가능한 반도체 공정용 챔버 및 이를 이용하는 반도체 제조 방법
TW202244313A (zh) 具有氣體分佈及個別泵送的批次固化腔室

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20140902