JP2013517616A - 酸化物ライナを使用する流動可能な誘電体 - Google Patents

酸化物ライナを使用する流動可能な誘電体 Download PDF

Info

Publication number
JP2013517616A
JP2013517616A JP2012548028A JP2012548028A JP2013517616A JP 2013517616 A JP2013517616 A JP 2013517616A JP 2012548028 A JP2012548028 A JP 2012548028A JP 2012548028 A JP2012548028 A JP 2012548028A JP 2013517616 A JP2013517616 A JP 2013517616A
Authority
JP
Japan
Prior art keywords
silicon
nitrogen
substrate
precursor
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012548028A
Other languages
English (en)
Other versions
JP2013517616A5 (ja
Inventor
ジンメイ リャン,
ニティン, ケー. イングル,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013517616A publication Critical patent/JP2013517616A/ja
Publication of JP2013517616A5 publication Critical patent/JP2013517616A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

酸化ケイ素層を形成する方法が記載される。方法は、無炭素シリコン含有前駆体をラジカル−窒素前駆体と混合すること、シリコン−窒素含有層を基板上に堆積することを含む。ラジカル−窒素前駆体は、プラズマ内に水素−窒素含有前駆体を流すことによって、プラズマ中で形成される。シリコン−窒素含有層を堆積する前に、酸化ケイ素ライナ層が形成され、シリコン−窒素含有層の接着、平滑性、流動性を改善する。シリコン−窒素含有層は、膜を硬化およびアニーリングすることにより、シリコン−酸素含有層に変換することができる。方法は、酸化ケイ素ライナ層を形成し、その後スピンオンシリコン含有材料を塗布することも含む。

Description

関連出願の相互参照
本出願は、2010年12月21日に出願され、「FLOWABLE DIELECTRIC USING OXIDE LINER」と題する米国特許出願第12/974,495号のPCT出願であり、その開示全体が全ての目的のために参照により本明細書に組み込まれる、2010年、1月6日に出願され、「RADICAL COMPONENT DIELECTRIC USING OXIDE LINER」と題する米国仮特許出願第61/292,520号の利益を主張する。
半導体デバイスの形状寸法は、数十年前に半導体デバイスが導入されて以来、サイズが劇的に縮小してきた。現在の半導体製造機器は、45nm、32nm、および28nmフィーチャサイズでデバイスを日常的に製造しており、さらに小さな形状寸法でデバイスを製作するために新しい機器が開発され実現されつつある。フィーチャサイズが縮小することが、空間寸法が縮小したデバイスに、構造的な特徴をもたらした。デバイス上の間隙およびトレンチの幅は、間隙を誘電体材料で充填することを難しくするに十分なほど、間隙深さと間隙幅のアスペクト比が大きくなる程度にまで狭くなる。堆積する誘電体材料は、間隙が完全に充填する前に上部で詰まりやすく、間隙の中にボイドまたは継目を作る。
長年にわたって、誘電体材料が間隙の上部を詰まらせることを回避するための、または形成されたボイドまたは継目を「治す(heal)」ための多くの技法が開発されてきた。スピンする基板表面に液相で塗布され得る、極めて流動可能な前駆体材料を用いる、一アプローチが開始されなければならなかった(例えば、SOG堆積技法)。これらの流動可能な前駆体は、ボイドまたは弱い継目を形成することなく、非常に小さな基板間隙の中に流れ、充填することができる。しかし、これらの極めて流動可能な材料は、一度堆積されると、固体の誘電体材料に固められる必要がある。
多くの場合、固めるプロセスは、堆積した材料から炭素およびヒドロキシル基を取り除き、酸化ケイ素などの固体誘電体を後に残すための、熱処理を含む。残念ながら、炭素およびヒドロキシル核種がなくなると、多くの場合、最終的な材料の品質を低下させる、固められた誘電体中の細孔が残る。加えて、固める誘電体は体積が縮む傾向もあり、このことによって、誘電体と周囲の基板のインターフェースにクラックおよび空間を残す場合がある。いくつかの場合において、固められた誘電体の体積は、40%以上減少する場合がある。
したがって、基板間隙およびトレンチ内にボイド、継目、またはその両方を生成することなく構成された基板上に誘電体材料を形成するための、新規の堆積プロセスおよび新規の堆積材料が必要とされている。細孔がより少なく、体積の減少がより少ない、流動可能な誘電体材料を固める材料および方法も必要とされている。この必要性および他の必要性は、本出願中で対象とされる。
酸化ケイ素層を形成する方法が記載される。方法は、無炭素シリコン含有前駆体をラジカル−窒素前駆体と混合すること、続けてシリコン−窒素含有層を基板上に堆積することを含む。ラジカル−窒素前駆体は、プラズマ内に水素−窒素含有前駆体を流すことによって、プラズマ中で形成される。シリコン−窒素含有層を堆積する前に、酸化ケイ素ライナ層が形成され、シリコン−窒素含有層の接着、平滑性、流動性を改善する。シリコン−窒素含有層は、膜を硬化およびアニーリングすることにより、シリコン−酸素含有層に変換することができる。方法は、酸化ケイ素層を形成し、その後スピンオンシリコン含有材料を塗布することも含む。
本発明の実施形態は、基板上に流動可能な誘電体層を形成する方法を含む。方法は、(1)基板をシリコン含有ライナ前駆体および酸素含有ライナ前駆体に曝し、基板をライナ堆積温度に維持することにより、基板上に全体的に共形な酸化ケイ素ライナ層を形成すること、および(2)基板上に無炭素の流動可能なシリコン−窒素−水素含有層を形成し、基板をバルク堆積温度に維持することの連続したステップを含む。
さらなる実施形態および特徴は、部分的には以下の記載の中に示され、部分的には本明細書を検討すれば当業者に明らかとなり、または本発明を実施することにより学ぶことができる。本発明の特徴および利点は、本明細書において記載される手段、化合物、および方法を用いて具体化され、かつ達成され得る。
本明細書および図面の残りの部分を参照することによって本発明の性質および利点をさらに理解することができ、図面内では、同様の参照番号が類似の構成要素を意味するように、複数の図面にわたって使用される。いくつかの場合において、サブラベルが参照番号に付随してハイフンの後に続き、複数の類似の構成要素のうちの1つを意味する。存在するサブラベルを指定することなしに参照番号への参照がなされるときは、そのような複数の類似の構成要素全てを意味することが意図される。
本発明の実施形態にしたがう、酸化ケイ素膜を作るために選択されたステップを例示する流れ図である。 本発明の実施形態にしたがう、基板間隙内で酸化ケイ素膜を形成するために選択されたステップを例示する別の流れ図である。 本発明の実施形態にしたがう、基板処理システムを示す図である。 本発明の実施形態にしたがう、基板処理チャンバを示す図である。 本発明の実施形態にしたがう、基板処理チャンバのシャワーヘッドを示す図である。
酸化ケイ素層を形成する方法が記載される。方法は、無炭素シリコン含有前駆体をラジカル−窒素前駆体と混合すること、シリコン−窒素含有層を基板上に堆積することを含む。ラジカル−窒素前駆体は、プラズマ内に水素−窒素含有前駆体を流すことによって、プラズマ中で形成される。シリコン−窒素含有層を堆積する前に、酸化ケイ素ライナ層が形成され、シリコン−窒素含有層の接着、平滑性、流動性を改善する。シリコン−窒素含有層は、膜を硬化およびアニーリングすることにより、シリコン−酸素含有層に変換することができる。方法は、酸化ケイ素層を形成し、その後スピンオンシリコン含有材料を塗布することも含む。
基板とシリコン−窒素含有層の間に酸化物ライナ層を導入することによって、後続の処理の期間および後続の処理の後に、接着を改善し、層間剥離およびクラッキングの発生を減少するように思われる。酸化ケイ素ライナを使用した開示される実施形態にしたがって形成された酸化ケイ素膜は、堆積のダイナミクスが変化したことを示す、より滑らかな外面も呈した。特許請求の範囲を、完全に正しい可能性も正しくない可能性もある、理論的機序に束縛するものではないが、シラノール基が酸化ケイ素ライナ層の曝された面上に存在する可能性があり、移動性を増す働きをして、それによってシリコン−窒素含有層の発生期の流動性を増加する可能性がある。酸化ケイ素層を含むことの他の利点としては、いくつかの応用例で一般的な下部材料である窒化ケイ素上の初期成長速度がより速いことが挙げられる。他の利点としては、下部の基板に対して、上部の層が縮小または拡大することに適応することも挙げられる。多層の堆積に続いて、シリコン−窒素含有層が酸素含有環境内で硬化および/またはアニールされ、層を酸化ケイ素に変換することができる。
酸化ケイ素層を形成する方法およびシステムについてのさらなる詳細が、次に記載される。
例示的な酸化ケイ素形成プロセス
図1は、本発明の実施形態による、酸化ケイ素膜を作る方法100で選択されたステップを示す流れ図である。方法100は、動作101で、TEOSおよびオゾン(O)を同時に流すことで、基板上に酸化ケイ素ライナを堆積することを含む。本明細書に記載の他のライナの堆積と同様に、堆積期間の基板温度は、本発明の実施形態において、400°C超、500°C超および600°C超である。確実に、より流動可能にまたは滑らかに堆積する目的で、水(HO、蒸気)、HMDSおよびTMDSOなどの添加物がTEOSおよびオゾン(O)に加えられて良い。後に続くラジカル組成物の堆積と比較して、比較的高い基板温度によって、窒化ケイ素などの不活性な表面上の堆積を促進する。次いでライナは酸化ケイ素の表面を呈示し、酸化ケイ素の表面は不活性さが低く、比較的低い温度で堆積することにより貢献する。そのような堆積プロセスは、準常圧CVD(SACVD)として当技術分野で知られているが、1気圧を超える圧力でも行うことができる。TEOSの例示的な流量は、異なる実施形態において、0.1gm/分(グラム/分)超、0.5gm/分超、1gm/分超および3gm/分超であって良い。オゾンは、異なる実施形態において、1,000sccm超、3,000sccm超、10,000sccm超または30,000sccm超で流すことができる。比較的不活性なキャリアガスを使用して、TEOSおよび任意選択の添加物を基板に送達することができ、キャリアガスの質量は、上に示したgm/分デリバリ速度に含まれない。
方法は続いて、無炭素シリコン含有前駆体を基板処理領域に提供することを含む(動作102)。無炭素シリコン含有前駆体は、例えば、シリコン前駆体の種類の中でも、シリコン−窒素前駆体、シリコン−水素前駆体、またはシリコン−窒素−水素含有前駆体であって良い。シリコン前駆体は、無炭素に加えて無酸素であって良い。酸素の欠乏によって、前駆体から形成されるシリコン−窒素層内のシラノール(Si−OH)基の濃度がより低くなる。堆積した膜内の過剰なシラノール成分によって、堆積した層からヒドロキシル(−OH)成分を除去する堆積後のステップの期間に、多孔性が増し、より収縮する結果になる。
無炭素シリコン含有前駆体の具体的な例としては、シリル−アミンの中でも、HN(SiH)、HN(SiH、およびN(SiHなどのシリル−アミンが挙げられる。シリル−アミンの流量は、異なる実施形態において、約200sccm以上、約300sccm以上または約500sccm以上であって良い。本明細書において与えられる全ての流量は、デュアルチャンバ基板処理システムのことを言う。枚葉ウエハシステムはこれらの流量の半分を必要とし、他のウエハサイズは処理面積によってスケーリングされた流量を必要とすることになる。これらのシリル−アミンは、キャリアガス、反応性ガス、またはその両方としての役割を果たすことができる追加のガスと混合され得る。これらの追加のガスの例としては、ガスの中でも、H、N、NH、He、およびArが挙げられる。無炭素シリコン含有前駆体の例としては、シラン(SiH)単独またはシラン(SiH)と他のシリコン(例えば、N(SiH)、水素(例えば、H)、および/または窒素(例えば、N、NH)含有ガスとの混合物も挙げられる。無炭素シリコン含有前駆体としては、ジシラン、トリシラン、さらに高次のシラン、および塩素化シランの単体、お互いの組合せ、または以前に述べた無炭素シリコン含有前駆体との組合せも挙げることができる。無炭素シリコン含有前駆体は、無プラズマ基板処理領域に入る前に、プラズマ領域(例えば、遠隔プラズマ領域)内で励起されない。
アンモニア(NH)をプラズマ領域に送達し、ラジカル−窒素前駆体を形成する(動作104)。ラジカル−窒素前駆体は、基板処理領域の外側のプラズマ領域内で、アンモニアから生成される、窒素−ラジカル含有前駆体である。例えば、NHを含む安定な窒素前駆体化合物は、反応チャンバの外のチャンバプラズマ領域または遠隔プラズマシステム(RPS)中で活性化され、ラジカル−窒素前駆体を形成することができ、次いでラジカル−窒素前駆体は基板処理領域の中に搬送される(動作106)。アンモニアの流量は、異なる実施形態において、約300sccm以上、約500sccm以上または約700sccm以上であって良く、一方窒素(N)および水素(H)など追加の前駆体が窒素:水素原子流量比を調整するために含まれて良い。ラジカル−窒素前駆体は、NHを使用せずに生成することもできる。遠隔プラズマ領域内に流される安定な窒素前駆体は、本発明の実施形態において、H、NおよびNのうちの1つまたは複数を含むことができる。チャンバプラズマ領域内で作り出されたラジカル−窒素前駆体は、N、NH、NHなどのうちの1つまたは複数であって良く、プラズマ中で形成されたイオン化核種を伴う場合もある。
チャンバプラズマ領域を使用する実施形態において、ラジカル−窒素前駆体は、前駆体が混合して反応し堆積基板(例えば、半導体ウエハ)上にシリコン−窒素層を堆積する、基板処理領域から仕切られた基板処理システムのセクション内で生成される。ラジカル窒素前駆体は、ヘリウム、アルゴンなどのキャリアガスを伴う場合もある。基板処理領域は、本明細書で、シリコン−窒素含有層の成長期間、および低温オゾン硬化期間、「無プラズマ」として記載されて良い。「無プラズマ」は、領域にプラズマが存在しないことを必ずしも意味しない。プラズマ領域内で作られたイオン化核種は、パーティション(シャワーヘッド)の中の細孔(開口)を通って移動するが、無炭素シリコン含有前駆体は、プラズマ領域に印加されるプラズマ出力によって実質的に励起されない。チャンバプラズマ領域内のプラズマの境界は、規定するのが困難であり、シャワーヘッド内の開口を通って基板処理領域に侵入し得る。誘導結合プラズマの場合、基板処理領域内で、少量のイオン化が直接影響を受ける場合がある。さらに、形成する膜の流動可能な性質をなくすことなく、低強度プラズマが基板処理領域内で生成される場合がある。ラジカル窒素前駆体の生成期間、チャンバプラズマ領域よりもはるかに低いイオン密度を有する基板処理領域内のプラズマは、本明細書で使用する、「無プラズマ」の範囲から逸脱しない。
基板処理領域において、無炭素シリコン含有前駆体とラジカル−窒素前駆体が混合して反応し、シリコン−窒素含有膜を堆積基板上に形成する(動作108)。堆積したシリコン−窒素含有膜は、方策(recipe)の組合せで共形に堆積することができ、このことが堆積面で低堆積速度または高ラジカル窒素流束をもたらす。他の実施形態において、堆積されたシリコン−窒素含有膜は、従来型の窒化ケイ素(Si)膜堆積技法と異なり流動可能な特性を有する。形成の流動可能な性質によって、膜が基板の堆積面上の狭い間隙トレンチおよび他の構造の中に流れることが可能となる。堆積(動作108)の期間、基板温度は、異なる実施形態において、120°C未満、100°C未満、80°C未満および60°C未満である。
流動性は、ラジカル−窒素前駆体を未励起の無炭素シリコン含有前駆体と混合することに由来する、様々な性質に起因し得る。これらの性質としては、堆積した膜内のかなりの水素成分および/または短鎖ポリシラザンポリマーの存在が挙げられる。これらの短鎖が成長してネットワーク化し、膜形成の期間および膜形成の後、より密な誘電体材料を形成する。例えば、堆積される膜は、シラザン型のSi−NH−Siバックボーン(すなわち、Si−N−H膜)を有する場合がある。シリコン含有前駆体とラジカル−窒素前駆体の両方が無炭素であるとき、堆積されたシリコン−窒素含有膜も実質的に無炭素である。もちろん、「無炭素」とは、膜が微量の炭素さえ含まないことを必ずしも意味しない。炭素混入物が前駆体材料中に存在し、炭素混入物が堆積されたシリコン−窒素前駆体内に達する場合がある。しかし、これらの炭素不純物の量は、例えば、動作101で成長されるライナ層中の炭素成分を有するシリコン含有前駆体(例えば、TEOS、TMDSOなど)に見出されるよりもはるかに少ない。
シリコン−窒素含有層の堆積に続いて、堆積基板は酸素含有雰囲気(複数可)内で硬化および/またはアニールされ得る(動作110)。硬化は、オゾン含有雰囲気で、約400°C以下の基板温度で起こり得る。いくつかの条件(例えば、約100°Cから約200°Cの間の基板温度)で、変換が実質的に完了することが見出されており、そのため本発明の実施形態において、酸素含有環境内の比較的高い温度のアニールが不必要な場合がある。シリコン−窒素含有層の硬化の後に、膜を酸化ケイ素にさらに変換するために、基板を酸素含有雰囲気でアニールすることが望ましい場合がある。酸素含有雰囲気としては、酸素含有ガスの中でも、分子酸素(O)、オゾン(O)、水蒸気(HO)、過酸化水素(H)および窒素酸化物(NO、NOなど)などのうちの1つまたは複数の酸素含有ガスが挙げられる。酸素含有雰囲気としては、遠くで生成され、基板チャンバの中に搬送される場合がある、原子酸素(O)、水酸化物(OH)などのラジカル酸素およびヒドロキシル核種も挙げられる。酸素含有核種のイオンも存在する場合がある。基板の酸素アニール温度は、約500°Cと約1100°Cの間であって良い。プラズマが使用されるとき、プラズマは、基板処理領域内、シャワーヘッドにより分離される別個の領域内または遠隔プラズマシステム(RPS)内にあって良い。
硬化および酸素アニール両方の酸素含有雰囲気が酸素を提供し、シリコン−窒素含有膜を酸化ケイ素(SiO)膜に変換する。前に言及したように、シリコン−窒素含有膜中に炭素がないことによって、最終的な酸化ケイ素膜中に形成される細孔が著しく少なくなる。このことによって、酸化ケイ素への変換の期間に、膜の体積の減少(すなわち収縮)が減る結果にもなる。例えば、炭素含有シリコン前駆体およびラジカル−窒素から形成されたシリコン−窒素−炭素層は、酸化ケイ素に変換されると40vol.%以上収縮する場合があるが、実質的な無炭素シリコン−窒素膜は、約17vol.%以下収縮し得る。
ここで図2を参照すると、本発明の実施形態による、基板間隙内に酸化ケイ素膜を形成するための方法200で選択されたステップを例示する別の流れ図が示される。方法200は、間隔内に間隙を有するパターン形成される基板および基板上に形成されるデバイス構成要素(例えば、トランジスタ)の構造上の酸化ケイ素ライナを堆積することを含む。間隙は、1:1よりも著しく大きい(例えば、5:1以上、6:1以上、7:1以上、8:1以上、9:1以上、10:1以上、11:1以上、12:1以上などの)高さと幅(すなわち、H/W)のアスペクト比(AR)を規定する高さと幅を有する場合がある。多くの場合、高ARは、約90nmから約22nm以下(例えば、約90nm、65nm、45nm、32nm、22nm、16nmなど)の間隙の範囲の、狭い間隙幅に起因する。
酸化ケイ素ライナは、TEOSおよび酸素(O)を同時に流すことで堆積される(動作201)。基板温度は、図1に関して記載した実施形態と同一であって良く、同一の添加物が同一の目的で加えられて良い。比較的高い堆積温度によって、ラジカル組成物の堆積がライナ層なしで試みられた場合よりも、堆積がより速く進むことが可能となる。ライナは酸化ケイ素の表面を呈示し、酸化ケイ素の表面は不活性さが低く、比較的低い温度で堆積することにより貢献する。そのような堆積プロセスは、準常圧CVD(SACVD)として当技術分野で知られているが、1気圧を超える圧力でも行うことができる。TEOSの例示的な流量は、異なる実施形態において、0.1gm/分(グラム/分)超、0.5gm/分超、1gm/分超および3gm/分超であって良い。酸素は、異なる実施形態において、3,000sccm超、10,000sccm超、30,000sccm超または60,000sccm超で流すことができる。比較的不活性なキャリアガスを使用して、TEOSおよび任意選択の添加物を基板に送達し、キャリアガスの質量は、上に示したgm/分デリバリ速度に含まれない。
基板は、次いで基板処理領域に移送され(動作202)、アンモニア(NH)が別個のチャンバプラズマ領域内で励起されて、ラジカル−窒素前駆体を形成する(204)。チャンバプラズマ領域内のプラズマが、チャンバプラズマ領域を基板処理領域から分離するシャワーヘッド内の開口を通って流れる、ラジカル−窒素前駆体を生成する。無炭素シリコン含有前駆体は、ラジカル窒素前駆体と基板処理領域内で混合される(動作206)。流動可能なシリコン−窒素含有層が基板上に堆積される(動作208)。層が流動可能であるので、層は、高アスペクト比を有する間隙を、充填材料の中心の周りにボイドまたは弱い継目を作成することなく、充填することができる。例えば、堆積する流動可能な材料は、間隙が完全に充填される前に、間隙の上部を早まって塞ぎ、間隙の中にボイドを残す可能性が低い。基板温度は、実施形態において、図1に関して議論した温度未満である。
堆積されたままのシリコン−窒素含有層は、次いでオゾン含有雰囲気内で硬化され、かつ/または酸素含有雰囲気内でアニールされ(動作210)、シリコン−窒素含有層を酸化ケイ素へ移行することができる。さらなるアニール(図示せず)が、酸化ケイ素層の密度を高くするために、不活性環境内で、より高い基板温度で実行され得る。酸素含有雰囲気内で、堆積されたままのシリコン−窒素含有層を硬化およびアニーリングすることによって、基板間隙を含む基板上に酸化ケイ素層を形成する。実施形態において、動作210の処理パラメータは、図1に関して記載された同一の範囲を有する。上記のように、酸化ケイ素層は、熱処理ステップ前に層内にかなりの量の炭素が存在する炭素含有前駆体を用いて形成された同様の層よりも、細孔が少なく、体積の減少が少ない。多くの場合、酸化ケイ素が収縮する結果間隙中で形成する空間を、充填する、治す、さもなければなくすためのポストヒートトリートメントステップを回避するのに十分なだけ、体積の減少が少ない(例えば、約15vol.%以下)。
例示的な酸化ケイ素堆積システム
本発明の実施形態を実現することのできる堆積チャンバとしては、チャンバの種類の中でもとりわけ、高密度プラズマ化学気相堆積(HDP−CVD)チャンバ、プラズマ化学気相堆積(PECVD)チャンバ、準常圧化学気相堆積(SACVD)チャンバ、および熱化学気相堆積チャンバを挙げることができる。本発明の実施形態を実現することができるCVDシステムの具体的な例としては、Santa Clara、Calif.のApplied Materials、Inc.から入手可能な、CENTURA ULTIMA(登録商標)HDP−CVDチャンバ/システム、およびPRODUCER(登録商標)PECVDチャンバ/システムが挙げられる。
本発明の例示的な方法とともに使用され得る基板処理チャンバの例としては、その内容全体が全ての目的のために参照により本明細書に組み込まれる、2006年5月30日に出願され、「PROCESS CHAMBER FOR DIELECTRIC GAPFILL」と題する、Lubomirskyらの、同一出願人による、米国仮特許出願第60/803,499号に示され記載されるものを挙げることができる。追加の例示的なシステムとしては、全ての目的のために参照により本明細書にやはり組み込まれる、米国特許第6,387,207号および米国特許第6,830,624号に示され記載されるものを挙げることができる。
堆積システムの実施形態は、集積回路チップを作るためのより大きい製造システムに組み込まれて良い。図3は、開示される実施形態による、堆積チャンバ、焼成チャンバおよび硬化チャンバでできている、1つのそのようなシステム300を示す。図において、1組のFOUP(前方開口型統一ポッド)302が基板(例えば、300mm直径ウエハ)を供給し、基板は、ロボットアーム304により受け取られて、低圧保持区域306の中に配置され、その後ウエハ処理チャンバ308a〜fのうちの1つの中に配置される。第2のロボットアーム310が使用されて、基板ウエハを保持区域306から処理チャンバ308a〜fに、また逆戻りに搬送することができる。
処理チャンバ308a〜fは、基板ウエハ上に流動可能な誘電体膜を堆積、アニーリング、硬化および/またはエッチングするための、1つまたは複数のシステム構成要素を含み得る。一構成において、2組の処理チャンバ(例えば308c〜dおよび308e〜f)が使用されて基板上に流動可能な誘電体材料を堆積することができ、第3の組の処理チャンバ(例えば308a〜b)が使用されて堆積された誘電体をアニールすることができる。別の構成において、同じ2組の処理チャンバ(例えば308c〜dおよび308e〜f)が基板上に流動可能な誘電体膜を堆積することとアニールすることの両方のために構成されて良く、一方第3の組のチャンバ(例えば308a〜b)が堆積された膜のUVまたはEビーム硬化のために使用されて良い。さらに別の構成において、3組のチャンバ全て(例えば、308a〜f)が、基板上に流動可能な誘電体膜を堆積し硬化するために構成されて良い。さらに別の構成において、2組の処理チャンバ(例えば308c〜dおよび308e〜f)が、流動可能な誘電体の堆積およびUVまたはEビーム硬化の両方のために使用されて良く、一方第3の組のチャンバ(例えば308a〜b)が誘電体膜をアニーリングするために使用されて良い。記載されるプロセスのうちの任意の1つまたは複数は、様々な実施形態において示される製造システムから分離されたチャンバ(複数可)上で実行され得る。
加えて、プロセスチャンバ308a〜fのうちの1つまたは複数が、湿式処理チャンバとして構成されて良い。これらのプロセスチャンバは、水分を含む雰囲気中で、流動可能な誘電体膜を加熱することを含む。したがって、システム300の実施形態は、湿式処理チャンバ308a〜bおよびアニール処理チャンバ308c〜dを含み、堆積された誘電体膜に湿式アニールおよび乾式アニールの両方を実施することができる。
酸化ケイ素ライナは、準常圧化学気相堆積(SACVD)を介してライナ層を堆積するように構成されるチャンバのうちの1つで堆積することができる。他の名前を使用して、TEOSおよびオゾンまたはTEOSおよび酸素(O)の組合せに基板を曝すことを含む、比較的高い圧力のプロセスを記載することができる。そのようなシステムが、Santa Clara、CaliforniaのApplied Materials、Inc.からやはり入手可能である。酸化ケイ素ライナ層の厚さは、異なる実施形態において、100Å未満、75Å未満、50Å未満および25Å未満であって良い。酸化ケイ素ライナ層の堆積に続いて、基板は、図4に記載のように、ラジカル組成物CVDチャンバに移送されて良い。別法として、スピンオン誘電体(SOD)、スピンオンガラス(SOG)または他のスピンオンシリコン含有膜を塗布することができる。スピンオンの流動可能な材料は、膜が層間剥離およびクラッキングをより少なく呈することで、ラジカル組成物の流動可能な堆積と同様な利点を示すこととなる。シリコンおよび窒素を含むSOD膜は、本発明の実施形態において、これらの利点を呈することになる。
図4Aは、開示される実施形態による、基板処理チャンバ400である。遠隔プラズマシステム(RPS)410がガスを処理して良く、ガスは次いでガス吸入アセンブリ411を介して移動する。2つの別個のガス供給チャネルが、ガス吸入アセンブリ411の内部に認識できる。第1のチャネル412は遠隔プラズマシステムRPS410を通るガスを運び、一方第2のチャネル413はRPS400をバイパスする。開示される実施形態において、第1のチャネル402はプロセスガスのために使用されて良く、第2のチャネル413はトリートメントガスのために使用されて良い。リッド(または導電性上部)421および孔あきパーティション453が、その間にある絶縁リング424とともに示され、絶縁リング424によって、AC電位が孔あきパーティション453を基準にしてリッド421に印加されることを可能にする。プロセスガスは第1のチャネル412を通ってチャンバプラズマ領域420の中に移動し、チャンバプラズマ領域420内のプラズマ単独でまたはRPS410と組み合わせて励起され得る。本明細書において、チャンバプラズマ領域420および/またはRPS410の組合せを、遠隔プラズマシステムと呼ぶことができる。孔あきパーティション(シャワーヘッドとも呼ばれる)453が、シャワーヘッド453の下の基板処理領域470からチャンバプラズマ領域420を分離する。シャワーヘッド453によって、チャンバプラズマ領域420中に存在するプラズマが基板処理領域470内のガスを直接励起することを回避することを可能にし、一方それでもなお、励起された核種がチャンバプラズマ領域420から基板処理領域470の中に移動することを可能にする。
シャワーヘッド453は、チャンバプラズマ領域420と基板処理領域470の間に配置され、チャンバプラズマ領域420内で作成されたプラズマ流出物(前駆体または他のガスの励起された誘導体)が、プレートの厚さを横切る複数のスルーホール456を通過することを可能にする。シャワーヘッド453は、蒸気またはガスの形で、前駆体(シリコン含有前駆体など)で充填され、小さな孔455を介して、直接チャンバプラズマ領域420の中にではなく、基板処理領域470の中に通ることができる、1つまたは複数の中空容積451も有する。この開示された実施形態において、シャワーヘッド453は、スルーホール456の最小直径450の長さよりも厚い。チャンバプラズマ領域420から基板処理領域470に浸透する励起された核種の、かなりの濃度を維持するために、スルーホールの最小直径450の長さ426は、シャワーヘッド453を通り抜けるスルーホール456部により大きい直径部分を形成することにより制限される場合がある。スルーホール456の最小直径450の長さは、開示された実施形態において、スルーホール456の最小直径と同じ桁数以下であって良い。
示された実施形態において、シャワーヘッド453は、チャンバプラズマ領域420内のプラズマにより励起するとすぐ、酸素、水素および/もしくは窒素を含むプロセスガスならびに/またはそのようなプロセスガスのプラズマ流出物を(スルーホール456を介して)散布することができる。実施形態において、RPS410および/またはチャンバプラズマ領域420内で励起されるプロセスガスは、アンモニア(NH)および窒素(N)および/または水素(H)を含む。一般的に言って、RPS410および/またはチャンバプラズマ領域420の中に第1のチャネル412を介して導入されるプロセスガスは、酸素(O)、オゾン(O)、NO、NO、NO、NH、Nを含むN、シラン、ジシラン、TSAおよびDSAのうちの1つまたは複数を含み得る。プロセスガスは、ヘリウム、アルゴン、窒素(N)などのキャリアガスも含み得る。第2のチャネル413は、成長するまたは堆積したままの膜から不要な構成要素を取り除くために使用される、プロセスガスおよび/もしくはキャリアガスならびに/または膜硬化ガスも送達して良い。プラズマ流出物は、プロセスガスのイオン化されたまたは中性の誘導体を含む場合があり、本明細書において、導入されるプロセスガスの元素構成を意味するラジカル−酸素前駆体および/またはラジカル−窒素前駆体と呼ばれる場合もある。
実施形態において、スルーホール456の数は、約60と約2000の間であって良い。スルーホール456は、様々な形を有して良いが、最も容易には丸く作られる。スルーホール456の最小直径450は、開示された実施形態において、約0.5mmと約20mmの間または約1mmと約6mmの間であって良い。スルーホールの断面形状の選択にも許容範囲があり、スルーホールの断面形状は、円錐形、円筒形または2つの形状の組合せであって良い。ガスを基板処理領域470の中に導入するために使用される小さな孔455の数は、様々な実施形態において、約100と約5000の間または約500と約2000の間であって良い。小さな孔455の直径は、約0.1mmと約2mmの間であって良い。
図4Bは、開示される実施形態にしたがう処理チャンバとともに使用するシャワーヘッド453の底面図である。シャワーヘッド453は、図4Aに示されたシャワーヘッドに対応する。スルーホール456は、シャワーヘッド453の底部でより大きな内径(ID)を有し、上部でより小さいIDを有して描かれている。本明細書において記載の他の実施形態よりもさらに均一な混合を可能にする助けとなるスルーホール456の中でも、とりわけ、小さな孔455は、シャワーヘッドの表面全体にわたって実質的に均一に分散される。
シャワーヘッド453内のスルーホール456を介して到達するプラズマ流出物が、中空容積451から始まる小さな孔455を介して到達するシリコン含有前駆体と化合するとき、例示的な膜が基板処理領域470内のペデスタル(図示せず)によって支持される基板上に作成される。基板処理領域470は、硬化など他のプロセスのためプラズマをサポートするように準備されて良いが、例示的な膜の成長期間、プラズマは存在しない。
プラズマは、シャワーヘッド453の上のチャンバプラズマ領域420またはシャワーヘッド453の下の基板処理領域470のいずれかの中で点火されて良い。プラズマは、チャンバプラズマ領域420内に存在し、窒素−水素含有ガスの流入からラジカル窒素前駆体を生成する。典型的には高周波(RF)の範囲であるAC電圧が、堆積の期間、処理チャンバの導電性上部421とシャワーヘッド453の間に印加され、チャンバプラズマ領域420内でプラズマに点火する。RF電力供給は、13.56MHzの高RF周波数を生成するが、単独でまたは13.56MHzの周波数と組み合わせて他の周波数も生成する場合がある。
膜を硬化することまたは基板処理領域470と接する内部表面を洗浄することのいずれかのために、基板処理領域470内の底部プラズマがオンにされると、上部プラズマは低電力または無電力のままとされて良い。基板処理領域470内のプラズマは、シャワーヘッド453とペデスタルまたはチャンバの底部の間にAC電圧を印加することにより点火される。洗浄ガスは、プラズマが存在する間に基板処理領域470の中に導入され得る。
ペデスタルは、基板の温度を制御するため、熱交換流体がそこを通って流れる、熱交換チャネルを有する場合がある。この構成によって、基板温度が冷却されまたは加熱され、比較的低い温度(室温から約120°C)に維持することを可能にする。熱交換流体は、エチレングリコールおよび水を含んで良い。ペデスタルのウエハ支持プラッタ(好ましくは、アルミニウム、セラミック、またはその組合せ)は、平行同心円の形の、完全な2巻きを作るように構成される、単ループ埋込み型の埋込みヒータ素子を使用して、比較的高い温度(約120°Cから約1100°C)を達成するために抵抗加熱もされ得る。ヒータ素子の外側部分は、支持プラッタの周辺に隣接して延びて良く、一方内側部分は、より小さい半径を有する同心円の経路上を延びる。ヒータ素子への配線は、ペデスタルのステムを通過する。
基板処理システムは、システムコントローラにより制御される。例示的な実施形態において、システムコントローラは、ハードディスクドライブ、フロッピーディスクドライブおよびプロセッサを含む。プロセッサはシングルボードコンピュータ(SBC)、アナログおよびデジタル入出力ボード、インターフェースボードおよびステッパモータコントローラボードを含む。CVDシステムの様々な部分は、ボード、カードケージ、ならびにコネクタ寸法および種類を規定するVME(Versa Modular European)規格に適合する。VME規格は、バス構造を、16ビットデータバスおよび24ビットアドレスバスを有するものとしても規定する。
システムコントローラがCVD機の活動の全てを制御する。システムコントローラは、コンピュータ可読媒体に記憶されたコンピュータプログラムであるシステム制御ソフトウェアを実行する。好ましくは、媒体はハードディスクドライブであるが、媒体が他の種類のメモリであっても良い。コンピュータプログラムは、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、RF電力レベル、サセプタ位置、および特定のプロセスの他のパラメータを定める命令の組を含む。例えば、フロッピーディスクまたは他の別の適切なドライブを含む他のメモリデバイス上に記憶された他のコンピュータプログラムが、システムコントローラに命令するために使用されても良い。
基板上に積層膜を堆積するためのプロセス、またはチャンバを洗浄するためのプロセスは、システムコントローラによって実行されるコンピュータプログラム製品を使用して実装されて良い。コンピュータプログラムコードは、例えば、68000アセンブリ言語、C、C++、Pascal、Fortranなど、任意の通常のコンピュータ可読プログラミング言語で書かれて良い。好適なプログラムコードは、通常のテキストエディタを使用して、単一のファイルまたは複数のファイルに入力され、コンピュータのメモリシステムなどコンピュータが使用可能な媒体に記憶されまたは具体化される。入力されたコードテキストが高水準言語である場合、コードはコンパイルされ、結果として得られるコンパイラコードは、次いで事前コンパイルされたMicrosoft Windows(登録商標)ライブラリルーチンのオブジェクトコードとリンクされる。リンクされ、コンパイルされたオブジェクトコードを実行するために、システムユーザがオブジェクトコードを起動し、コンピュータシステムにコードをメモリ中にロードさせる。次いで、CPUがコードを読んで実行し、プログラム中に特定されたタスクを実施する。
ユーザとコントローラの間のインターフェースは、フラットパネル接触式モニタを介する。好ましい実施形態では、2つのモニタが使用され、一方はオペレータ用に洗浄室の壁に取り付けられ、他方は保守技術員用に壁の背後に取り付けられる。2つのモニタは、同じ情報を同時に表示して良く、その場合、一度にただ1つのモニタが入力を受け入れる。特定の画面または機能を選択するために、オペレータは、接触式モニタの指定された区域にタッチする。タッチされた区域は、その強調色を変える、または新しいメニューもしくは画面が表示され、オペレータと接触式モニタの間の通信を確認する。キーボード、マウス、または他のポインティングデバイスもしくは通信デバイスなどの他のデバイスが、接触式モニタの代わりにまたは接触式モニタに加えて使用され、ユーザがシステムコントローラと通信することを可能にできる。
本明細書で使用する「基板」は、その上に層を形成された、または形成されていない支持基板であって良い。支持基板は、様々なドーピング濃度およびプロファイルの絶縁体または半導体であって良く、例えば、集積回路の製造において使用される種類の半導体基板であって良い。「酸化ケイ素」の層は、窒素、水素、炭素など、密度の低い他の元素成分を含むことができる。「励起状態」のガスは、少なくとも一部のガス分子が振動励起し、解離しかつ/またはイオン化した状態であるガスのことを記載する。ガスは2つ以上のガスの組合せであって良い。用語「トレンチ」は、エッチングされた形状寸法が、大きな水平のアスペクト比を有するという含意なしに、全体にわたって使用される。表面の上から見た場合、トレンチは、円形、長円形、多角形、矩形、または様々な他の形状に見えて良い。用語「ビア」は、低いアスペクト比のトレンチのことを言うために使用され、垂直の電気的接続を形成するために、金属で充填されても充填されなくても良い。用語「前駆体」は、任意のプロセスガスのことを言うために使用され、表面から材料を除去するまたは堆積することのいずれかのための反応に加わる。
複数の実施形態を記載したが、様々な修正、代替構成、および均等物が、本発明の精神から逸脱することなく使用され得ることが、当業者には理解されよう。加えて、いくつかのよく知られたプロセスおよび要素は、本発明を不必要に曖昧にすることを回避するために記載しなかった。したがって、上の記載が本発明の範囲を限定するものと理解されるべきではない。
値の範囲が提供される場合、それぞれの間にある値は、文脈が明らかに別段の規定をしない限り、その範囲の上限と下限の間の下限の単位量の10分の1まで、やはり具体的に開示されると理解される。述べられた範囲内の任意の述べられた値または間にある値と、その述べられた範囲内の任意の他の述べられた値または間にある値の間の、それぞれのより小さい範囲が包含される。述べられた範囲内に具体的に除外される限界があればそれを条件として、これらのより小さい範囲の上限および下限は、独立して範囲内に含まれまたは除外されて良く、限界のいずれかがより小さい範囲内に含まれる場合、限界のどちらもより小さい範囲内に含まれない場合、または両方の限界がより小さい範囲内に含まれる場合、各範囲はやはり本発明に包含される。述べられた範囲が一方または両方の限界を含む場合、一方または両方の含まれる限界のどちらかまたは両方を除外する範囲も含まれる。
本明細書および添付の特許請求の範囲の中で使用する場合、文脈が明らかに別段の規定をしない限り、単数形の「a」「an」および「the」は、複数形への言及を含む。したがって、例えば、「プロセス(a process)」という言及は、複数のそのようなプロセスを含み、「前駆体(the precursor)」という言及は、1つまたは複数の前駆体および当業者に知られているその均等物を含むなどである。
さらに、本明細書および後続の特許請求の範囲の中で使用するとき、用語「備える、含む(comprise)」、「備えている、含んでいる(comprising)」、「含む(include)」、「含んでいる(including)」、および「含む(includes)」は、述べた特徴、整数、構成要素、またはステップの存在を明示することを意図するが、用語「備える、含む(comprise)」、「備えている、含んでいる(comprising)」、「含む(include)」、「含んでいる(including)」、および「含む(includes)」は、1つまたは複数の他の特徴、整数、構成要素、ステップ、行為、またはグループの存在または追加を排除しない。

Claims (18)

  1. 基板上に流動可能な誘電体層を形成する方法であって、連続したステップの、
    基板をシリコン含有ライナ前駆体および酸素含有ライナ前駆体に曝すことにより、基板上に全体的に共形な酸化ケイ素ライナ層を形成するステップであって、基板がライナ堆積温度に維持されるステップと、
    基板上に無炭素の流動可能なシリコン−窒素−水素含有層を形成するステップであって、基板がバルク堆積温度に維持されるステップと
    を含む方法。
  2. 無炭素の流動可能なシリコン−窒素−水素含有層を形成するステップが、
    窒素−水素含有ガスをプラズマ領域内に流し、ラジカル−窒素前駆体を生成するステップと、
    無炭素シリコン含有前駆体をラジカル−窒素前駆体と無プラズマ基板処理領域内で化合させるステップと、
    シリコン−窒素含有層を基板上に堆積するステップと
    を含む、請求項1に記載の方法。
  3. 窒素−水素含有ガスがアンモニア(NH)を含む、請求項2に記載の方法。
  4. 窒素−水素含有ガスが窒素(N)、水素(H)、ヒドラジン(N)およびアンモニア(NH)のうちの少なくとも1つを含む、請求項2に記載の方法。
  5. 全体的に共形な酸化ケイ素ライナの厚さが約100Å以下である、請求項1に記載の方法。
  6. 無炭素シリコン含有前駆体がN(SiHを含む、請求項2に記載の方法。
  7. 無炭素の流動可能なシリコン−窒素−水素含有層が無炭素Si−N−H層を含む、請求項1に記載の方法。
  8. バルク堆積温度がライナ堆積温度よりも低い、請求項1に記載の方法。
  9. バルク堆積温度が120°C未満である、請求項1に記載の方法。
  10. ライナ堆積温度が400°Cより高い、請求項1に記載の方法。
  11. 基板の温度をオゾン含有雰囲気で約400°C以下に維持する硬化ステップをさらに含む、請求項1に記載の方法。
  12. 原子酸素、オゾン、および蒸気(HO)からなる群から選択される1つまたは複数のガスを含む酸素含有雰囲気内で、基板の温度を約600°C以上の酸素アニール温度に上げる、後硬化ステップをさらに含む、請求項11に記載の方法。
  13. プラズマ領域が遠隔プラズマシステムの中である、請求項2に記載の方法。
  14. プラズマ領域が、シャワーヘッドによって無プラズマ基板処理領域から分離される、基板処理チャンバの仕切られた部分である、請求項2に記載の方法。
  15. 酸素含有ライナ前駆体がオゾンを含む、請求項1に記載の方法。
  16. 酸素含有ライナ前駆体が酸素(O)を含む、請求項1に記載の方法。
  17. シリコン含有ライナ前駆体がTEOSを含む、請求項1に記載の方法。
  18. シリコン−窒素含有層を堆積する動作がスピンオン誘電体(SOD)層を堆積するステップを含む、請求項1に記載の方法。
JP2012548028A 2010-01-06 2010-12-21 酸化物ライナを使用する流動可能な誘電体 Pending JP2013517616A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US29252010P 2010-01-06 2010-01-06
US61/292,520 2010-01-06
US12/974,495 2010-12-21
PCT/US2010/061605 WO2011084812A2 (en) 2010-01-06 2010-12-21 Flowable dielectric using oxide liner
US12/974,495 US8647992B2 (en) 2010-01-06 2010-12-21 Flowable dielectric using oxide liner

Publications (2)

Publication Number Publication Date
JP2013517616A true JP2013517616A (ja) 2013-05-16
JP2013517616A5 JP2013517616A5 (ja) 2014-02-13

Family

ID=44224951

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012548028A Pending JP2013517616A (ja) 2010-01-06 2010-12-21 酸化物ライナを使用する流動可能な誘電体

Country Status (7)

Country Link
US (1) US8647992B2 (ja)
JP (1) JP2013517616A (ja)
KR (1) KR101528832B1 (ja)
CN (1) CN102754193A (ja)
SG (1) SG182336A1 (ja)
TW (1) TWI544548B (ja)
WO (1) WO2011084812A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101528832B1 (ko) * 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
JP2015530742A (ja) * 2012-08-08 2015-10-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理のための流動可能な炭素
JP2015233137A (ja) * 2014-06-05 2015-12-24 エーエスエム アイピー ホールディング ビー.ブイ. 半導体基板のための反応性硬化プロセス
JP2020533803A (ja) * 2017-09-12 2020-11-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 保護バリア層を使用して半導体構造を製造する装置および方法
JP7379353B2 (ja) 2018-02-22 2023-11-14 アプライド マテリアルズ インコーポレイテッド より優れた膜品質を可能にするためにマスク基板を処理する方法
JP7478776B2 (ja) 2021-07-07 2024-05-07 アプライド マテリアルズ インコーポレイテッド ゲートスタック形成のための統合湿式洗浄

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) * 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9470771B2 (en) 2012-06-08 2016-10-18 Liposcience, Inc. NMR measurements of NMR biomarker GlycA
US9361429B2 (en) 2012-06-08 2016-06-07 Liposcience, Inc. Multi-parameter diabetes risk evaluations
US9928345B2 (en) 2012-06-08 2018-03-27 Liposciences, Inc. Multiple-marker risk parameters predictive of conversion to diabetes
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
WO2015126590A1 (en) 2014-02-18 2015-08-27 Applied Materials, Inc. Hermetic cvd-cap with improved step coverage in high aspect ratio structures
CN105244269B (zh) * 2014-07-09 2018-10-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
SG11201703195QA (en) 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP7330181B2 (ja) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド 高圧蒸気アニール処理装置
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR20210021420A (ko) 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
US11901220B2 (en) * 2019-12-20 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bilayer seal material for air gaps in semiconductor devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20240087910A1 (en) * 2022-09-14 2024-03-14 Applied Materials, Inc. Methods of highly selective silicon oxide removal

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01189128A (ja) * 1988-01-22 1989-07-28 Matsushita Electric Ind Co Ltd プラズマcvd方法
JPH06104181A (ja) * 1992-09-22 1994-04-15 Sony Corp 光cvd法利用絶縁膜の製造方法と平坦化絶縁膜の製造方法
JPH07335754A (ja) * 1994-06-13 1995-12-22 Sony Corp 半導体装置の製造方法
JPH113888A (ja) * 1997-05-28 1999-01-06 Texas Instr Inc <Ti> 集積回路誘電体及び方法
JPH11186259A (ja) * 1997-12-18 1999-07-09 Taiwan Maoxi Electron Co Ltd 金属間誘電層の形成方法
US6008540A (en) * 1997-05-28 1999-12-28 Texas Instruments Incorporated Integrated circuit dielectric and method
US20010033026A1 (en) * 2000-03-29 2001-10-25 Fujitsu Limited Low dielectric constant film material, film and semiconductor device using such material
JP2002110781A (ja) * 2000-09-19 2002-04-12 Promos Technologies Inc 浅溝槽分離区の平坦化方法
WO2009055340A1 (en) * 2007-10-22 2009-04-30 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate

Family Cites Families (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) * 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) * 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) * 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
EP1095958B1 (en) 1999-10-25 2006-02-08 Dow Corning Corporation Soluble silicone resin compositions
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6787191B2 (en) 2000-04-04 2004-09-07 Asahi Kasei Kabushiki Kaisha Coating composition for the production of insulating thin films
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6543899B2 (en) * 2000-12-05 2003-04-08 Eastman Kodak Company Auto-stereoscopic viewing system using mounted projection
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6538274B2 (en) * 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100364026B1 (ko) * 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
JP3924483B2 (ja) 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
JP2004526318A (ja) 2001-03-23 2004-08-26 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜を生産するための方法
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
JP2003017556A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP4140768B2 (ja) 2003-04-24 2008-08-27 株式会社日立国際電気 半導体原料
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
CA2540039A1 (en) 2003-12-17 2005-07-28 Cedraeus Inc. Method for a random-based decision-making process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7488693B2 (en) 2004-02-17 2009-02-10 Toagosei Co., Ltd. Method for producing silicon oxide film
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
KR100762573B1 (ko) 2004-06-04 2007-10-01 어플라이드 마이크로스트럭쳐스, 인코포레이티드 산화물층에 의해 부착된 다층 코팅의 제어되는 기상 증착
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
TW200619416A (en) 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4618178B2 (ja) 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US8377511B2 (en) 2006-04-03 2013-02-19 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7651959B2 (en) * 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090068179A (ko) 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) * 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
JP2013521650A (ja) * 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (ja) 2010-04-05 2011-11-04 Denso Corp 排気ガス循環装置
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
JP5566845B2 (ja) 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01189128A (ja) * 1988-01-22 1989-07-28 Matsushita Electric Ind Co Ltd プラズマcvd方法
JPH06104181A (ja) * 1992-09-22 1994-04-15 Sony Corp 光cvd法利用絶縁膜の製造方法と平坦化絶縁膜の製造方法
JPH07335754A (ja) * 1994-06-13 1995-12-22 Sony Corp 半導体装置の製造方法
JPH113888A (ja) * 1997-05-28 1999-01-06 Texas Instr Inc <Ti> 集積回路誘電体及び方法
US6008540A (en) * 1997-05-28 1999-12-28 Texas Instruments Incorporated Integrated circuit dielectric and method
JPH11186259A (ja) * 1997-12-18 1999-07-09 Taiwan Maoxi Electron Co Ltd 金属間誘電層の形成方法
US20010033026A1 (en) * 2000-03-29 2001-10-25 Fujitsu Limited Low dielectric constant film material, film and semiconductor device using such material
JP2002110781A (ja) * 2000-09-19 2002-04-12 Promos Technologies Inc 浅溝槽分離区の平坦化方法
WO2009055340A1 (en) * 2007-10-22 2009-04-30 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
JP2011504651A (ja) * 2007-10-22 2011-02-10 アプライド マテリアルズ インコーポレイテッド 基板上に酸化ケイ素層を形成する方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101528832B1 (ko) * 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
JP2015530742A (ja) * 2012-08-08 2015-10-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理のための流動可能な炭素
JP2015233137A (ja) * 2014-06-05 2015-12-24 エーエスエム アイピー ホールディング ビー.ブイ. 半導体基板のための反応性硬化プロセス
JP2018198318A (ja) * 2014-06-05 2018-12-13 エーエスエム アイピー ホールディング ビー.ブイ. 半導体基板のための反応性硬化プロセス
JP2020533803A (ja) * 2017-09-12 2020-11-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 保護バリア層を使用して半導体構造を製造する装置および方法
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
JP7379353B2 (ja) 2018-02-22 2023-11-14 アプライド マテリアルズ インコーポレイテッド より優れた膜品質を可能にするためにマスク基板を処理する方法
JP7478776B2 (ja) 2021-07-07 2024-05-07 アプライド マテリアルズ インコーポレイテッド ゲートスタック形成のための統合湿式洗浄

Also Published As

Publication number Publication date
WO2011084812A2 (en) 2011-07-14
SG182336A1 (en) 2012-08-30
KR20120102155A (ko) 2012-09-17
TW201131652A (en) 2011-09-16
KR101528832B1 (ko) 2015-06-15
US20110165781A1 (en) 2011-07-07
US8647992B2 (en) 2014-02-11
WO2011084812A3 (en) 2011-11-17
CN102754193A (zh) 2012-10-24
TWI544548B (zh) 2016-08-01

Similar Documents

Publication Publication Date Title
JP2013517616A (ja) 酸化物ライナを使用する流動可能な誘電体
US8629067B2 (en) Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
US8449942B2 (en) Methods of curing non-carbon flowable CVD films
JP5600368B2 (ja) 低温酸化ケイ素変換
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
KR102011079B1 (ko) 감소된 아웃개싱을 위한 표면 처리 및 증착
US20110159213A1 (en) Chemical vapor deposition improvements through radical-component modification
JP2013545284A (ja) アミン硬化ケイ素−窒化物−水素化物膜
JP2014507797A (ja) ラジカル蒸気cvd
WO2011084752A2 (en) In-situ ozone cure for radical-component cvd
JP2013521650A (ja) ラジカル成分cvdによる共形層
JP2013508975A (ja) 引張膜のための応力管理
WO2011084532A2 (en) Dielectric film formation using inert gas excitation

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131220

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150113

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150616