JPH113888A - 集積回路誘電体及び方法 - Google Patents

集積回路誘電体及び方法

Info

Publication number
JPH113888A
JPH113888A JP10147773A JP14777398A JPH113888A JP H113888 A JPH113888 A JP H113888A JP 10147773 A JP10147773 A JP 10147773A JP 14777398 A JP14777398 A JP 14777398A JP H113888 A JPH113888 A JP H113888A
Authority
JP
Japan
Prior art keywords
dielectric
xerogel
layer
interconnect
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10147773A
Other languages
English (en)
Inventor
Wei William Lee
ウィリアム リー ウェイ
Richard S List
エス.リスト リチャード
Changming Jin
ジン チャングミング
Kelly J Taylor
ジェイ.タイラー ケリー
Jiong-Ping Lu
− ピング ルー ジオング
Stephen W Russell
ダブリュ.ラッセル ステファン
Robert H Havemann
エイチ.ハーブマン ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JPH113888A publication Critical patent/JPH113888A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/293Organic, e.g. plastic
    • H01L23/296Organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 シリカキセロゲル誘電体を提供する。 【解決手段】 (a) 多孔性誘電体の第一層と、(b) 前記
多孔性誘電体上の第二の誘電体層とを含んで成り、(c)
第二誘電体層は、前記多孔性誘電体層の表面の開放細孔
の容積の少なくとも50%を満たすことを特徴とする、
誘電体構造体。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】下記の特許出願明細書は、関
連の主題を開示している。連続番号08
/...、....出願。これらの出願は、本願と共通
の譲受人である。本発明は、電子半導体装置に関し、更
に詳細には、誘電体構造体およびこのような構造体の製
法に関する。
【0002】
【従来の技術】高密度集積回路の性能は、金属ラインの
抵抗率および隣接ラインの間の静電結合による金属相互
連結レベルRC時間の遅延によって支配される。容量性
冷却は、隣接ラインの誘電体(絶縁体)の相対的絶対誘
電率(比誘電率、k)を減少させることによって減少さ
せることができる。
【0003】様々な誘電材料、すなわち二酸化ケイ素
(k約4.0)、フッ素化二酸化ケイ素(k約3.0〜
4.0)、有機材料、例えばポリイミド、パリレン、非
晶質テフロン(k約1.9〜3.9)、および多孔性誘
電体、例えば二酸化ケイ素キセロゲル(kは細孔径によ
って変化し、典型的には1.3〜3.0)が、シリコン
集積回路での使用が示唆されてきた。多孔度は、99容
量%までとすることができる。Smith et al.の低k誘電
体のための周囲圧での低密度キセロゲルの製造 (Prepar
ation of Low-Density Xerogel at Ambient Pressure f
or Low k Dielectrics), 381 Mat. Res. Soc. Symp. Pr
oc. 261 (1995)を参照されたい。
【0004】集積回路での誘電体の使用のための薄フィ
ルムシリカキセロゲルは、(1) 前駆体調製、(2) スピン
コーティング、(3) エージング、(4) 溶媒交換、および
(5)乾燥の一般的段階によって製造することができる。
アルコキシドを溶媒中で加水分解する。
【0005】
【化1】
【0006】溶媒は、エタノールでもよい。次に、加水
分解したアルコキシドを濃縮(ゲル化)する。
【0007】
【化2】
【0008】濃縮を調節して、部分濃縮の後にスピンコ
ーティングを行なって、好都合な粘度とする。溶媒交換
では、ゲルの細孔内部にある元の溶媒を低表面張力溶媒
に代えて、乾燥中の毛管圧を減少させて、細孔の崩壊を
できるだけ少なくする。米国特許第5,561,318
号明細書には、この方法の変法が開示されている。
【0009】
【発明が解決しようとする課題】しかし、シリカキセロ
ゲルは、未だに製造することができるようにはなってい
ない。
【0010】本発明は、プラズマ活性化、イオンビーム
シェル形成(ion beam shell formation)、又は開放表面
細孔充填蒸着による表面接着の向上し、及び/又は誘電
率を低下するための還元雰囲気焼結を有し、多段集積回
路誘電体として配合されたシリカキセロゲル誘電体を提
供する。これは、誘電率を低下させる目的でキセロゲル
を配合している層間絶縁膜(interlevel dielectrics )
を製造可能であるという利点を有する。
【0011】
【課題を解決するための手段】本発明は、(a)多孔性
誘電体の第一層と、(b)前記多孔性誘電体上の第二の
誘電体層とを含んで成り、(c)第二誘電体層は、前記
多孔性誘電体層の表面の開放細孔の容積の少なくとも5
0%を満たすことを特徴とする、誘電体構造体である。
【0012】
【発明の実施の形態】概要 好ましい態様は、キセロゲル特性を向上し、このような
向上したキセロゲルを多段相互連結集積回路での層間絶
縁膜の主成分として用いている。疎水性キセロゲルは、
典型的には表面における開放細孔の接触表面積が限定さ
れていることと疎水性の表面性状とにより表面接着が乏
しい。キセロゲル最上面は、(i) 開放表面細孔の充填剤
と接着するギャップ充填材料での表面コーティング、(i
i)水素プラズマまたは他の化学薬品に暴露することによ
る表面活性化、および(iii) イオンビームまたはプラズ
マイオン衝撃による最上面層の崩壊による連続シェル形
成の3種類の方法で向上させることができる。
【0013】更に、キセロゲルを高温(例えば、450
(℃)、還元雰囲気(例えば、フォーミングガスまたは
水素)中で乾燥して、誘電率を低下させる。ギャップ充
填(gapfill) 、象眼(damascene) およびスロット充填型
の集積回路多段誘電体は、総てキセロゲルを配合するこ
とができる。
【0014】ギャップ充填エッチバックの好ましい態様 図1〜7は、下記のようにして集積回路(例えば、CM
OSまたはBiCMOS)の製造法の好ましい態様の段
階を立面断面図で示している。 (1) CMOS装置に対する浅い溝絶縁と一対のウェル
(場合によっては、これにメモリセルアレイウェルおよ
び二極性装置を埋め込んだ層を加えたもの)を有するケ
イ素ウェーハを用いて始める。閾値調節インプラント
(セルトランジスターおよび各種の周辺トランジスター
について異なることがある)を行ない、ゲート誘電体を
形成する。ケイ素化タングステンをコーティングしたポ
リシリコンゲート材料および二酸化ケイ素層を蒸着した
後、層をパターニングして酸化物が最上層になったゲー
トとゲートレベルとの相互接続を形成する。ゲート材料
は、従来のベースインプラントも必要とする二極性装置
についてのポリシリコンエミッタも提供することができ
た。浅い溝絶縁酸化物104とゲート110およびゲー
トレベル相互接続112を有するシリコン基板102を
示している図1を参照されたい。ゲート110は、高さ
が200〜300nmであり、長さが130〜250n
mであることができる(図1はゲート長さに沿った断面
図であり、ゲート幅は典型的にはその長さよりも遥かに
大きい)。あるいは、ポリシリコンゲートの形成の後
に、自己整列ケイ化(次の段階の供給源/ドレインおよ
び側壁の誘電体形成の後)を行ない、ゲート最上部およ
び供給源/ドレイン上にケイ化物を生成する。
【0015】(2) 軽くドープしたドレインインプラント
を行ない、次いで蒸着および異方性液体エッチングによ
ってゲート上に側壁誘電体を形成する。ドープ剤を導入
して、供給源およびドレイン114を形成する。ゲート
レベル構造体をプレーナー化した(planarized)誘電層1
20(例えば、BPSG、またはTEOSの蒸着物のよ
うなドープしていない酸化物の最上層を有するコンフォ
ーマル(conformal,共形な)でプレーナー化した層のス
タックなど)で被覆する。図2を参照されたい。
【0016】(3) 1−トランジスター1−コンデンサメ
モリーセルを用いる埋設メモリーセル配列を有する構造
については、ビット線およびセルコンデンサを次に形成
することができる。明快にするために、これらの段階は
図示せず、誘電体120に蒸着した付随の追加誘電体
は、誘電体120の一部と考える。
【0017】(4) プレーナー化した誘電体120に孔
(コンタクト、ビアス(vias))を写真製版により画定し
て、選択した供給源/ドレイン114およびゲートレベ
ル相互接続112上の部位まで(および埋設したメモリ
ーに対する選択したビット線部位まで)エッチングす
る。蒸着物(充填ビアスなど)にTi50nm、TiN
50nm、WまたはAl(CuおよびSiをドープした
もの)500nm、およびTiN50nmのような金属
スタックを被覆し、底部TiおよびTiNは拡散バリア
ーを形成し、最上部のTiNは平板印刷の反射防止コー
ティングを形成する。WまたはAlの蒸着の前に、底部
Tiを供給源/ドレインと反応させてケイ化物を形成さ
せ、金属−シリコン接触を安定化させることができる。
TiおよびTiNは、物理蒸着(PVD)または化学蒸
着(CVD)(例えば、TiCl4 +NH3 (TiN+
HCl)によって蒸着することができ、アルミニウムは
PCDによって蒸着した後、高圧下またはCVDによっ
てビアスに押し込むことができ、WはCVDによって蒸
着することができる。あるいは、ビアスは、CVDブラ
ンケット蒸着の後にエッチバックによってWを充填し
て、ビアスにWだけが残るようにすることができ(Wプ
ラグ)、次いでTi、TiN、AlおよびTiN蒸着物
を被覆する。
【0018】(5) 第一のレベル金属を写真製版により画
定してエッチングして、第一のレベル相互接続130を
形成する。図3を参照されたい。隣接相互接続130の
間のギャップは200〜300nmの程度であることが
でき、これらの微小ギャップによって静電結合が支配さ
れる。
【0019】(6) 相互接続130および露出した誘電体
120に50nmの厚みのコンフォーマルオキシドライ
ナー140をTEOSの酸素またはオゾンによるプラズ
マ増強分解によって蒸着する。ライナー140は金属表
面を不活性化し、続いて蒸着されるキセロゲルの細孔に
沿った金属の拡散を防止する。これは、機械的強度も提
供し、電気移動を抑制し、相互接続−相互接続リーク電
流を抑制する。他の誘電ライナー材料を用いることがで
きるが、ライナー材料は微小ギャップの間の有効誘電率
に影響する。従って、高誘電率ライナー材料は、極めて
薄いものでなければならない。
【0020】(7) ライナー140を、NH4 OH触媒を
添加したキセロゲル前駆体の溶液(例えば、エタノール
およびグリコールまたは他のポリオール溶媒中の加水分
解したTEOSモノマーから縮合したオリゴマー)でス
ピンコーティングする。コーティング溶液の粘度によ
り、下記の粘度の項で記載されるように生成するキセロ
ゲルの平坦度が決定される。コーティング142上のス
パン(spun)は十分な厚みのものであり、相互接続130
の最上部を約100nmまで被覆することができ、微小
ギャップを満たし、相互接続間の開放部分では約300
nmの厚みとなることができる。8インチウェーハ上で
は、前駆体約3mlで十分である。図4を参照された
い。
【0021】(8) コーティングした前駆体溶液を、室温
にてNH4 OH雰囲気中で1〜20分間エージングす
る。あるいは、120℃まで1〜4分間加熱することに
よって反応時間を減少させる。このエージング中に、縮
合反応により、細孔中に溶媒および水(縮合反応生成
物)を有する多孔性ポリマー網目構造、すなわちゲルを
生じる。エタノールは速やかに蒸発するが、エチレング
リコールは蒸気圧が低く、表面張力が高い。
【0022】(9) エタノールを用いる連続スピンコーテ
ィングにより、ポリマー網目構造の細孔中の溶媒(主と
してエチレングリコール)を、エタノール又は他の低表
面張力液体で置換する。この置換がなければ、元の溶媒
は、溶媒の蒸発中に乾燥細孔内の表面張力により網目構
造を(部分的に)崩壊させる。しかし、ポリマー網目構
造が表面張力に十分耐えるほど強いときには、この段階
を省略することができる。
【0023】(10) ヘキサメチルジシラザン(HMD
S)のような疎水性基の供給源をゲル上にスピンコーテ
ィングして、ポリマー網目構造上の総ての残っているヒ
ドロキシル基をトリメチルシリル基のような疎水性基に
転換する。この反応は、下記のような種類のものである
ことができる。 (SiOH+(CH3 3 Si−NH−Si(CH3
3 →(Si−O−Si(CH3 3 +NH3 典型的には、全般的な加水分解と縮合反応とによりTE
OSのエトキシケイ素結合の約70%がシロキサン結合
に転換され、エトキシケイ素結合の残りの30%はほと
んどがシラノール結合へ転換される。疎水性基置換によ
り、これらのシラノール基のほとんどがなくなる。シラ
ノール基は親水性であり、一層高い誘電率を誘導し、金
属腐食の問題を引き起こす可能性がある。しかし、加水
分解と縮合とは、少数のヒドロキシル基しか生成しない
ので、この段階を省略することができる。
【0024】(11) ウェーハをヘキサンのような低表面
張力液体で洗浄する。ゲルのポリマー網目構造が十分な
強さであるときには、この溶媒交換を省略することがで
きる。ウェーハを300〜350℃に約60秒間加熱す
ることによってヘキサンの大半を蒸発させて、ゲルを乾
燥し、これにより細孔に蒸気/空気が入っているポリマ
ー網目構造からなるキセロゲルを形成する。キセロゲル
は、50〜90容量%が細孔で、10〜50容量%がポ
リマー網目構造であり、細孔の平均直径は10〜20n
m程度である。
【0025】(12) 疎水性キセロゲルを、更に425℃
の成形ガス(95%N2 +5%H2)の還元雰囲気で3
0分間乾燥する。乾燥により、キセロゲル細孔から残留
液体が追い出される。下記の乾燥の項には、別の乾燥環
境が記載されている。相互接続間のギャップにおけるキ
セロゲルは、周囲の表面によって拘束されており、(表
面からのプリング・ルーズ(pulling loose) なしに)収
縮することができないが、上になっているキセロゲルは
拘束なしに収縮することができる。従って、ギャップ中
の相対細孔容積は、相互接続上の相対細孔容積より大き
く、誘電率は相互接続上よりもギャップでの方が低いこ
とがある。
【0026】(13) キセロゲル142を約100nmエ
ッチバックして、相互接続130の最上部にライナーを
露出させる。図5を参照されたい。エッチバックは、フ
ッ素を基剤とするプラズマによるものでよい。相互接続
130の最上部からキセロゲルを除くこのエッチバック
は、相互接続の次の水準でのビアスはキセロゲルを介し
てエッチングする必要がなく、従って側部のエッチング
の抑制は簡単に行なうことができ、キセロゲルからエッ
チングしたビアスへのガス放出は問題とはならないとい
う利点を有する。
【0027】(14) 200〜300nmの平均厚みの層
144にシルセスキオキサン水素(HSQ)をスピンコ
ーティングして、これを400℃で硬化させる。HSQ
層144は下になっているキセロゲル142に(恐らく
は、表面の開放細孔を充填することによって)付着し、
続いて厚みのあるキャッピング誘電体を蒸着するための
良好な表面を提供する。図5を参照されたい。HSQ接
着層144がなければ、キセロゲル142の疎水性層
は、プラズマによって増強されたTEOS分解によって
蒸着した酸化物に対する接着がよくない。下記の接着の
項には、他のキセロゲル表面接着増強の好ましい態様が
記載されている。
【0028】(15) 1500nmの厚みの誘電体146
を、TEOSの酸素またはオゾンを用いるプラズマによ
って増強された分解によってHSQ表面に蒸着する。次
に、誘電体146を化学的機械的研磨(CMP)によっ
て平坦化して、約1000nmの金属間レベル誘電体厚
みを提供する。これにより、第一の金属レベル相互接続
が完了し、他のレベルは反復段階(4) 〜(15)から得ら
れ、平坦化した誘電体のビアスは下になっている相互接
続に直接伸びている。ビアは、単にビアスの縦方向スタ
ックを形成し、小さな金属パッチを上に載せることによ
って2以上のレベルを通って効果的に伸びることができ
ることに留意されたい。
【0029】エッチバックのないギャップ充填 前記の好ましい態様では、段階(13)においてキセロゲル
をエッチバックして、相互接続の最上部にライナーを露
出させた。対照的に、非エッチバックの好ましい態様で
は、このエッチバック段階を省略し、キセロゲルの乾燥
を完了した直後に接着層(例えば、HSQ)上でスピニ
ングを行なう。従って、図1〜4に対応する前記段階
(1) 〜(12)を行ない、段階(13)は省略して、段階(14)を
続ける。接着層上での700nmの厚みの平坦化した誘
電体層246を有する蒸着開始したキセロゲル242上
での100nmの平均厚みの接着層244を示す図8を
参照されたい。
【0030】前記の好ましい態様の段階(15)と同様に、
次に誘電体246、接着層244、キセロゲル242、
およびライナー140を通って相互接続130まで画定
し、エッチングする。ビアエッチ(via etch)だけが、キ
セロゲル242の約100nmを通過する点に留意され
たい。実験的には、露出したキセロゲルはビア・ボトム
を混入していないと思われ、相互接続260のTiNま
たはTi/TiNバリアーはキセロゲル242との良好
な界面をなしている。
【0031】ビア側壁のほとんどは、当然のことながら
酸化物246であり、従って障壁層によるキセロゲル2
42への接着がよくないことは問題とはならない。前記
の好ましいエッチバック態様を有するギャップ充填の対
応する成分と同様にして、相互接続260をパターン化
し、ライナー270を蒸着し、第二のレベルキセロゲル
272を形成させ、HSQ接着層274をスピニング
し、キャッピング酸化物276を蒸着する。
【0032】好ましい象眼態様 もう一つの好ましい態様では、図10〜15に例示され
ている象眼法でキセロゲル誘電体を用いている。特に、
ギャップ充填の好ましい態様の段階(1) 〜(4)から始め
(図1、2)、段階(5) (ブランケット金属をパターン
化して、第一のレベル相互接続を形成する)の代わり
に、ブランケット金属をエッチバックしてビアスにだけ
残す。ビアスにおける金属栓330を示している図10
を参照されたい。金属のエッチバックは、例えば(等方
性)プラズマエッチ(終点は荷電降下による)またはC
MPによることができる。代替的には、金属よりはむし
ろドープしたポリシリコンを用いて、同じ方法によって
ビアスを充填し、その場(insitu ,インシトゥ)でド
ープしたポリシリコン(これは、ビアスを充填する)を
ブランケット蒸着した後、エッチバックして、ビアスの
外側のポリシリコンを除去する。
【0033】ビア充填の後、キセロゲル前駆体溶液(お
よび必要ならば触媒)上でスピニングし、前記のギャッ
プ充填の好ましい態様の段階(7) 〜(12)と同様に加工し
て、700nmの厚みのキセロゲル層342を形成す
る。
【0034】次に、相互接続の部位を写真製版によって
画定し、これらの部位でキセロゲルをエッチングして誘
電体120または栓330までトレンチ341をつけ
る。図11を参照されたい。隣接トレンチ間の最小空間
は約200〜300nmであり、従って自立しているキ
セロゲルストリップ343はアスペクト比が約3:1で
ある。エッチングは異方性のフッ素を基剤とするプラズ
マエッチング、例えばCF4 +CHF3 +He+Arで
あることができる。キセロゲルの多孔度は高く、酸化物
よりずっと速くエッチングするので、下になっている誘
電体120が酸化物であっても、過剰エッチングでも誘
電体120を余り除去しない。あるいは、誘電体120
は、キセロゲルトレンチエッチングに対して更に選択的
にエッチングを停止する窒化物の上方部分を有すること
ができる。
【0035】PVDまたはCVDにより、20〜50n
mの厚みのTiNコンフォーマルバリヤー層350をブ
ランケット蒸着する。他のバリヤー材料としては、Ta
N、Ta2 N、W2 NおよびTiSiN(非晶質TiN
のシラン処理によって形成させることができる)が挙げ
られる。キセロゲル342の疎水性表面は、バリヤー層
に対して十分な接着を提供することができないので、水
素含有プラズマ中で疎水性メチル基を除去することによ
ってキセロゲル表面を活性化する。下記の接着の項に
は、表面の活性化の態様が記載されている。また、CV
D TiNによりPVDよりも良好な側壁被覆率を提供
するので、アンモニアおよびテトラキスジメチルアミノ
チタニウム(TDMAT)のようなCVD法を用いる。
【0036】TiN上に200nmの厚みの銅層352
を蒸着する(例えば、電気メッキ、CVD、PVD)。
これが、前記段階でキセロゲル342でエッチングした
相互接続トレンチを満たし、ウェーハの残りを被覆す
る。図12を参照されたい。
【0037】相互接続の外側の銅352の部分をCMP
によって除去し、CMPにより、メッキした銅の凹凸を
平坦にする。あるいは、銅のハロゲンプラズマエッチン
グを用いるが、これらのエッチングはエッチング速度が
かなり低く、これは例えば塩化銅が重合しやすいからで
ある。CuClは、トリエチルホスフィンのような強ル
イス酸であって、反応して気体ClCu(PEt3 2
を形成するものを用いて除去することができる。バリヤ
ー層350は、CMP研磨停止であってもよく、この場
合には、バリヤーは研磨の後にエッチングによって除か
れなければならない。このCMP(又はエッチング)
は、銅を相互接続352としてのみ残す。
【0038】銅の研磨の後、700nmの厚みの誘電層
360を蒸着する。図13を参照されたい。誘電体は酸
化物であってもよく、酸素またはオゾンを用いるプラズ
マ増強TEOS分解によって蒸着することができる。こ
れにより、第一のレベル相互接続(図6に類似してい
る)が完了し、前記段階(エッチングおよび充填、キセ
ロゲル蒸着、トレンチエッチング、トレンチ充填、およ
び誘電体蒸着)を反復することにより、更に相互接続レ
ベルが提供される。
【0039】CMPによる損傷からキセロゲルを一層保
護するためのもう一つの構造は、相互接続したトレンチ
エッチの前にキセロゲル342表面上に(接着層344
上に)100nmの厚みの酸化物層346を形成するこ
とを含んでいる。この場合には、トレンチを酸化物(お
よび接着層)およびキセロゲルをエッチングする。図5
を参照されたい。次いで、前記の段階であるバリヤー蒸
着、銅電気メッキおよびCMPを行ない、相互接続の外
側の銅を除去する。CMPは、酸化物および接着層の一
部(または総て)を除去することができるが、酸化物
(および接着層)は、キセロゲルをCMPに露出するの
を保護している。図15を参照されたい。良好なCMP
特性も提供する厚い接着層を、薄い接着層および蒸着し
た酸化物の代わりに用いることができた。
【0040】別のレベルの相互接続は、キセロゲルおよ
び最上部レベルの相互接続上の誘電体におけるエッチビ
アス、ビアスの充填、キセロゲル層の形成、キセロゲル
における相互接続のパターン化、ブランケット金属の蒸
着、相互接続を完成するための研磨、および下になって
いる誘電体の蒸着の段階を反復することによって作成す
ることができる。
【0041】好ましいスロット・ジオメトリーの態様 更に好ましい態様では、相互接続に酸化物を蒸着し、最
小限の間隔をあけた相互接続間のトレンチをエッチング
した後、スピン・オンおよびエッチバックによってトレ
ンチにキセロゲルを充填する。特に、図16〜18に
は、この方法が例示されている。図16は、平坦化した
酸化物440中、および最小限の間隔をおいた隣接相互
接続430間でエッチングしたトレンチ441を示して
いる。また、相互接続430は、高さが700nmであ
り、幅が200nmであり、最小間隔が200nmであ
ってもよい。
【0042】次いで、トレンチ441および酸化物44
0の水平部分を充填するキセロゲル前駆体溶液をスピン
・オンした後、反応させてゲルを形成し、乾燥してキセ
ロゲル442を形成する。キセロゲル442をエッチバ
ックして(フッ素を基剤とするプラズマ)、トレンチの
外側の総てのキセロゲルを除去する。図17を参照され
たい。
【0043】酸化物440およびキセロゲル442上に
厚い酸化物460を蒸着するが、酸化物440面積が大
きいため、キセロゲルに対する接着の悪さは問題ではな
い。酸化物460で相互接続430までエッチングし、
金属を蒸着してパターン化し、相互接続470を形成す
る。図18を参照されたい。この方法だけが、隣接相互
接続間の最小ギャップにキセロゲルを有し、これは、キ
セロゲルが静電結合を低下させるのに最も大きな効果を
有する場合である。
【0044】相互接続430は、窒化ケイ素ライナーを
有することができ、これにより選択的酸化物が隣接相互
接続間の最小ギャップにおけるトレンチをエッチング
し、窒化物ライナーをそのままにしてキセロゲル442
を金属相互接続430から保護する。しかしながら、こ
のようなライナーは、隣接相互接続間の有効誘電率を増
加させる。
【0045】表面の充填接着 好ましい態様のHSQ接着層144を、良好なギャップ
充填特性も有する他の材料に代えることもできる。特
に、キセロゲルの平均細孔径は誘電率によって変化し、
約1.5〜2.0の誘電率に対しては、平均細孔径は1
0〜25nm程度である。従って、表面でこの径の開放
細孔を充填するために蒸着可能な材料は、キセロゲルに
結合するための大きな接触面積を有し、かつ接着を増加
するための機械的インターロッキングを有する。図19
に、開放細孔充填を立面断面図で示す。図19は、表面
から離れている内部細孔512と表面に開いている細孔
514〜516とを含むキセロゲル510を示し、ギャ
ップ充填材料層520は、細孔516の本質的に総てを
満たしているが、細孔514ではほぼ半分しか満たして
いない。ギャップ充填材料が表面の平均開放細孔の容積
の約50%以上を満たしていると、接触面積は増加し、
機械的インターロッキングは有意になる。従って、次の
厚い誘電体蒸着のための良好な表面を提供するギャップ
充填材料を接着層材料として用いることができる。
【0046】プラズマ増強TEOS酸化物(またはフッ
素化酸化物)の厚い誘電体に対しては、下記の材料はキ
セロゲル表面の開放細孔を十分に満たし、接着層を提供
すべきである。HSQ、大気圧以下のオゾンTEOSを
基剤とするCVD(SACVD)、メチルシルセスキオ
キサン、フロー・フィル酸化物(過酸化水素中0℃の温
度でシランからシラノールをスピン・オン)、など。
【0047】表面活性化接着 蒸着した誘電体を接着するための前記の好ましい態様と
同様にキセロゲル142にHSQ144のような細孔充
填材料を適用するよりは、別の好ましい態様では、10
〜90%水素および残りがアルゴンの、圧力が0.1〜
5トールの範囲のプラズマで1分間活性化することによ
ってキセロゲル表面を増強する。低エネルギープラズマ
水素は、疎水性キセロゲルの表面メチル基を、下記のよ
うな真の反応によって除去する。 −Si(CH3 3 +6H → −SiH3 +3CH4 水素化したシリコン表面は、蒸着した(フッ素化した)
酸化物および他の誘電体、例えばパリレンのような有機
ポリマーに対して良好な接着を提供する。
【0048】更に、水素プラズマ処理は、次のプラズマ
増強酸化物蒸着と同じ室で行なうことができるので、水
素プラズマは加工処理をほとんど複雑にしない。プラズ
マ活性化キセロゲル表面は、前記の象眼相互接続構造に
おいて用いられるTiN及びTa2 Nのようなバリヤー
の蒸着に対して良好な接着も提供する。
【0049】NH3 、O2 などの他の供給源の気体を基
剤とするプラズマを用いるプラズマ表面処理でも、下記
のような反応によって表面を活性化する。 −Si(CH3 3 +NH3 → −Si(NH2 3
+CH4 −Si(CH3 3 +O2 → −SiO+CO2 +H
2
【0050】表面シェル接着 もう一つのキセロゲル表面増強は、表面のキセロゲルの
薄層を崩壊して、比較的連続的なシェルを形成し、シェ
ルは蒸着層に対して大きな接触面積を提供する。表面近
くの崩壊した細孔を有するシェルの連続表面を示してい
る図20を参照されたい。シェルを形成するため、キセ
ロゲル表面をイオンビーム衝撃に暴露する。典型的に
は、線量が1016/cm2 であり、イオンエネルギー
のアルゴンイオンビームを用いるイオンインプランター
(ion implanter ,イオン注入装置)である。また、ア
ルゴンプラズマもイオン衝撃を提供することができた。
硬質シェルの厚みは、約20〜50nmである。硬質シ
ェルは、プラズマ増強された蒸着した酸化物の良好な表
面接着を提供する。
【0051】実際に、平均細孔径がDであれは、表面か
ら2Dの距離内にあるキセロゲルの部分は、表面から離
れた細孔度の半分よりずっと少ない細孔度(総細孔容積
対総容積の比)を有する。
【0052】ゲル乾燥 前記の好ましい態様段階(12)でのゲルの乾燥は、他の温
度および各種圧力の他の還元雰囲気で行なうこともでき
る。還元雰囲気は、部分的に酸化されていることもある
残留吸着物の除去を明らかに促進する。この処理によ
り、誘電率はキセロゲルに対して安定な最小値になる。
【0053】特に、400〜500℃の範囲での1〜6
0分間の加熱は、1(mまでの厚みのキセロゲルにとっ
て十分であり、更に低温では更に長時間になる。典型的
には、集積回路のプロセスは、熱バジェット(thermal b
udget)と温度に対する上限を有し、従ってより低温でよ
り長時間の乾燥が必要なことがある。しかし、他の熱処
理に用いたのと同じ条件での乾燥、例えば成形ガス中で
の430℃、30分間のアルミニウム焼結が好都合であ
る。
【0054】還元雰囲気は、1ミリトール〜10トール
の圧とすることができ、気体は、不活性ガス(N2 、A
r、Heなど)を各種割合で含むH2 とすることができ
る。他の還元性ガス、例えばCH4 、NH3 なども用い
ることができる。
【0055】粘度 スピン・オン誘電体溶液は、典型的には溶解した固形物
と溶媒の2成分からなっている。スピン・オン工程中
に、溶媒のほとんどが蒸発して、90%を上回る固形物
含量のフィルムが残り、これは次にホット・プレートま
たは炉加熱の際に重合する。所期の固形物含量は比較的
小さくして(30%未満)、ウェーハを横切るフィルム
厚みを均一にし、高いアスペクト比特性を有する良好な
ギャップ充填としなければならない。この低固形物含量
は一般的には、低粘度および平坦化に乏しいことを意味
している。
【0056】前記の好ましい態様で用いたのと同様の好
ましい態様の前駆体溶液は、高蒸気圧溶媒(エタノー
ル)、低蒸気圧溶媒(エチレングリコールのようなポリ
ノール)及びTEOSオリゴマーの3成分を有すると考
えることができ、容積百分率は、約70%の高蒸気圧溶
媒、20%の低蒸気圧溶媒及び10%のオリゴマーであ
る。この3成分系では、スピン・オン工程の2つの独立
した段階を行なうことができる。第一は、典型的なスピ
ン・オン誘電体の場合と同様に、3成分系を調節して、
厚みの均一性及び良好なギャップ充填を確保するのに十
分な低粘度を有することができる。しかし、高蒸気圧の
エタノールが蒸発してしまえば、溶解オリゴマーを含む
低蒸気圧ポリノールは、液体のままで架橋(重合)を開
始する。この粘性液体は、既に良好なウェーハ厚みの均
一性及びギャップ充電を達成しており、高速でスピニン
グして良好な平坦化を達成することができる。例えば、
初期スピン・オンは、1000rpmであることがで
き、エタノール蒸発後の第二のスピンは5000rpm
とすることができる。最終的なキセロゲルフィルムプロ
フィールは、最終的なスピン工程の後のポリノール/オ
リゴマー液体の液化プロフィールと同一となり、ゾルゲ
ル法は全液体容積中に架橋網目構造を形成するからであ
る。従って、2種類のゾルゲル法により、平坦化からの
フィルム厚み均一性及びギャップ充填の有効なデカップ
リングが可能となる。
【0057】ポリノール/オリゴマー液体の粘度を、ポ
リノールまたは高速スピニングの前の架橋を進行させる
時間を適当に選択することによって調節することができ
る。図21、22には、2段階スピン・オンが示されて
おり、図21は、低速度でのスピン・オンの後の低粘度
3成分系プロフィールを示し、点線は高蒸気圧溶媒が蒸
発するとき容積が減少することを示しており、図22
は、引き続いて粘性液体での平坦化のための高速スピン
の後のプロフィールを示している。
【0058】ダミー金属および溝付きパッド 濃厚な酸化物と比較してキセロゲルの機械的強度の減少
は、応力濃度領域または大きな機械的応力を有する領域
での2つの潜在的な問題点を生じる。特に、下になって
いる金属トポロジーが最小金属ピッチの数倍を上回る長
さ尺度に亙って変化すると、キセロゲルの厚みが変化
し、クラッキングの開始点を生じる。また、チップの高
応力ボンドパッド領域では、ボンドパッドの最上部にお
けるキセロゲルの厚みを最小限にするのが望ましい。こ
の好ましい態様により、開放部分におけるダミー金属お
よび溝付きボンドパッドの組み合わせのこれらの問題
は、解決される。この構造のもう一つの利点は、シリコ
ン表面に対してキセロゲル最上部表面の高さがほぼ一定
であることである。これにより、CMP工程の工程収率
が著しく増加する。
【0059】図23、24は、相互接続810〜812
上のキセロゲル820の立面および平面断面図を示して
いる。好ましい態様の相互接続構造は、電気的に接続さ
れていない相互接続850〜852を加えて、810〜
811間のような開放部分を満たし、それによって図2
5、26に例示されるようにキセロゲルを平坦化する。
実際に、相互接続850〜852は、ビアスによってい
ずれの他のレベル相互接続にも接続しておらず、電気的
に浮遊している。また、ダミー相互接続は、電気的に活
性な相互接続と同じ幅である必要はなく、852〜85
3によって示されるように区分されていてもよい。実際
に、大きくはない開放面積を有する任意の幾何学的パタ
ーンで十分である。ダミー相互接続は、最近接した活性
な相互接続からの最小ギャップを上回ることがあり、有
意な表面傾斜がなくとも充填される。
【0060】平坦化したキセロゲルは、最小限の量の平
坦化の必要性だけを用いることを意味しており、実際に
HSQ接着層を用いると、次のレベル相互接続のために
上になっている蒸着した誘電体のCMPを回避する上で
も十分に平坦化される。
【0061】象眼構造の相互接続では、ダミー相互接続
がキセロゲルの開放面積を制限し、機械的強度および熱
伝導性をキセロゲル相互接続層にを加える。図11〜1
3を参照されたい。更に、相互接続(電気的に活性なも
のおよびダミー)は、直接CMPのための研磨停止を提
供し、図14、15におけるように酸化物および接着層
を必要としない。ダミー相互接続は、キセロゲルの限定
された熱伝導性を回避する目的で縦型の導管も提供す
る。
【0062】ボンドパッドのような横に伸びた金属構造
は、キセロゲル上でのスピンのための高くした開放面積
のように作用し、従って厚い最上層を有する。スピニン
グしたキセロゲルを更に平坦化するため、好ましい態様
のボンドパッドおよび他の伸びた金属構造を、図27に
示される相互接続した一連の相互接続区分のように形成
する。
【0063】薄いライナー 相互接続上の50nmの酸化物ライナー(図14のライ
ナー140を参照されたい)を他の誘電体材料に代え、
有効誘電率を低下させることができた。特に、相互接続
金属と反応せずかつキセロゲルが粘着するコンフォーマ
ルに蒸着可能な材料を用いることができた。例えば、パ
リレン(parylene)を蒸気相からコンフォーマルに蒸着
させることができ、次のキセロゲルはパリレンに付着す
ることになる。他の材料としては、フッ化パリレン(例
えば、AF4)などが挙げられる。
【0064】修飾 好ましい態様を、表面接着、還元雰囲気での乾燥、およ
び2段階スピン・オンの対する3成分系でのダミー相互
接続の特性を保持したまま、様々な方法で修飾すること
ができる。例えば、キセロゲルは、ハイブリッド有機シ
リカ(元のTEOSを(EtO) 3 Si−R−Si(O
Et)3 のようなモノマーであって、RがC6 4 のよ
うな炭素結合基であるもので置換)であることができ、
二量体化を評価することができる。
【0065】以上の説明に関して更に以下の項を開示す
る。 (1) (a) 多孔性誘電体の第一層と、(b) 前記多孔性誘電
体上の第二の誘電体層とを含んで成り、(c) 第二誘電体
層は、前記多孔性誘電体層の表面の開放細孔の容積の少
なくとも50%を満たすことを特徴とする、誘電体構造
体。 (2) (a)多孔性の誘電体層を含んでなり、(b) 前記誘電
体層の細孔の表面が、(i) 前記層の表面から離れた細孔
については疎水性であり、(ii)前記層の表面の細孔につ
いては非疎水性であることを特徴とする、誘電体構造
体。 (3) (a) 平均細孔径がDであり、総細孔容積対総層容積
の比がpである多孔性誘電体の層を含んでなる誘電体構
造体であって、(b) 前記層の表面の距離2D内にある前
記層の部分が、総細孔容積対総層部分容積の比がp/2
未満であることを特徴とする、誘電体構造体。 (4) 上に重なる層の付着力(接着)を高めるための多孔
性シリカの表面処理であって、キセロゲル510の開放
表面細孔514に侵入するギャップ充填層520、表面
基の置換、及び細孔の崩壊を含む表面処理。
【図面の簡単な説明】
【図1】集積回路製法の好ましい態様1の段階(1a)
の立面断面図である。
【図2】集積回路製法の好ましい態様1の段階(1b)
の立面断面図である。
【図3】集積回路製法の好ましい態様1の段階(1c)
の立面断面図である。
【図4】集積回路製法の好ましい態様1の段階(1d)
の立面断面図である。
【図5】集積回路製法の好ましい態様1の段階(1e)
の立面断面図である。
【図6】集積回路製法の好ましい態様1の段階(1f)
の立面断面図である。
【図7】集積回路製法の好ましい態様1の段階(1g)
の立面断面図である。
【図8】集積回路製法の好ましい態様2の段階(2a)
の立面断面図である。
【図9】集積回路製法の好ましい態様2の段階(2a)
の立面断面図である。
【図10】集積回路製法の好ましい態様3の段階(3
a)の立面断面図である。
【図11】集積回路製法の好ましい態様3の段階(3
b)の立面断面図である。
【図12】集積回路製法の好ましい態様3の段階(3
c)の立面断面図である。
【図13】集積回路製法の好ましい態様3の段階(3
d)の立面断面図である。
【図14】集積回路製法の好ましい態様3の段階(3
e)の立面断面図である。
【図15】集積回路製法の好ましい態様3の段階(3
f)の立面断面図である。
【図16】集積回路製法の好ましい態様4の段階(4
a)の立面断面図である。
【図17】集積回路製法の好ましい態様4の段階(4
b)の立面断面図である。
【図18】集積回路製法の好ましい態様4の段階(4
c)の立面断面図である。
【図19】開放細孔の充填接着を示す。
【図20】硬質シェルを示す。
【図21】集積回路製法の好ましい態様7の段階(7
a)の立面断面図である。
【図22】集積回路製法の好ましい態様7の段階(7
b)の立面断面図である。
【図23】レイアウト構造体の好ましい態様8の断面図
(8a)である。
【図24】レイアウト構造体の好ましい態様8の平面図
(8b)である。
【図25】レイアウト構造体の好ましい態様9の断面図
(8c)である。
【図26】レイアウト構造体の好ましい態様9の平面図
(8d)である。
【図27】レイアウト構造体の好ましい態様10の平面
図(8e)である。
【符号の説明】
102 シリコン 104 酸化物 110 ゲート 114 供給源/ドレイン 120 誘電体 140 ライナー 142 ゲル 146 酸化物 330 栓 341 トレンチ 342 キセロゲル 344 接着 346 酸化物 350 TiN 352 銅 440 酸化物 442 キセロゲル 460 酸化物 512 内部細孔 514 開放表面細孔 516 開放表面細孔 520 ギャップ充填層
フロントページの続き (31)優先権主張番号 047793 (32)優先日 1997年5月28日 (33)優先権主張国 米国(US) (31)優先権主張番号 047794 (32)優先日 1997年5月28日 (33)優先権主張国 米国(US) (72)発明者 チャングミング ジン アメリカ合衆国 テキサス州ダラス,フォ レスト レーン ナンバー1109 9744 (72)発明者 ケリー ジェイ.タイラー アメリカ合衆国 テキサス州アレン,チャ ーター オーク ストリート 829 (72)発明者 ジオング − ピング ルー アメリカ合衆国 テキサス州ダラス,フォ レスト レーン ナンバー1310 9744 (72)発明者 ステファン ダブリュ.ラッセル アメリカ合衆国 テキサス州ダラス,ファ ルミドウ レーン 7659 (72)発明者 ロバート エイチ.ハーブマン アメリカ合衆国 テキサス州ダラス,ステ ィルウォーター コート 7413

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】 (a) 多孔性誘電体の第一層と、 (b) 前記多孔性誘電体上の第二の誘電体層とを含んで成
    り、 (c) 第二誘電体層が、前記多孔性誘電体層の表面の開放
    細孔の容積の少なくとも50%を満たすことを特徴とす
    る、誘電体構造体。
JP10147773A 1997-05-28 1998-05-28 集積回路誘電体及び方法 Pending JPH113888A (ja)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US047847 1987-05-08
US047846 1993-04-15
US4779497P 1997-05-28 1997-05-28
US4779397P 1997-05-28 1997-05-28
US4784697P 1997-05-28 1997-05-28
US4778797P 1997-05-28 1997-05-28
US4784797P 1997-05-28 1997-05-28
US047794 1997-05-28
US047787 1997-05-28
US047793 1997-05-28

Publications (1)

Publication Number Publication Date
JPH113888A true JPH113888A (ja) 1999-01-06

Family

ID=27535020

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10147773A Pending JPH113888A (ja) 1997-05-28 1998-05-28 集積回路誘電体及び方法

Country Status (4)

Country Link
EP (1) EP0881678A3 (ja)
JP (1) JPH113888A (ja)
KR (1) KR19980087552A (ja)
TW (1) TW411559B (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003530692A (ja) * 2000-04-07 2003-10-14 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ ウェーハ上の多孔性材料層とキャップ層の接着性を改善する方法
JP2007251212A (ja) * 1999-06-25 2007-09-27 Toshiba Corp Lsiの配線構造
JP2013517616A (ja) * 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
WO2017145808A1 (ja) * 2016-02-24 2017-08-31 日産化学工業株式会社 シリコン含有組成物を用いた半導体基板の平坦化方法
KR20200066568A (ko) * 2018-11-30 2020-06-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스의 상호접속 구조체 내의 기능 콤포넌트 및 그 형성 방법
US20200219759A1 (en) * 2018-10-04 2020-07-09 International Business Machines Corporation Back end of line integration for interconnects
US11848267B2 (en) 2018-11-30 2023-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Functional component within interconnect structure of semiconductor device and method of forming same

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6469390B2 (en) * 1999-01-26 2002-10-22 Agere Systems Guardian Corp. Device comprising thermally stable, low dielectric constant material
JP2000332010A (ja) 1999-03-17 2000-11-30 Canon Sales Co Inc 層間絶縁膜の形成方法及び半導体装置
JP3827056B2 (ja) 1999-03-17 2006-09-27 キヤノンマーケティングジャパン株式会社 層間絶縁膜の形成方法及び半導体装置
JP3403357B2 (ja) * 1999-06-03 2003-05-06 株式会社半導体先端テクノロジーズ 配線形成方法及び配線形成装置
US6318124B1 (en) * 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
JP3600507B2 (ja) 2000-05-18 2004-12-15 キヤノン販売株式会社 半導体装置及びその製造方法
JP3532830B2 (ja) 2000-05-24 2004-05-31 キヤノン販売株式会社 半導体装置及びその製造方法
JP2002009069A (ja) 2000-06-22 2002-01-11 Canon Sales Co Inc 成膜方法
JP3934343B2 (ja) 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
US6835669B2 (en) 2000-07-21 2004-12-28 Canon Sales Co., Inc. Film forming method, semiconductor device and semiconductor device manufacturing method
US6420276B2 (en) 2000-07-21 2002-07-16 Canon Sales Co., Inc. Semiconductor device and semiconductor device manufacturing method
US6500752B2 (en) 2000-07-21 2002-12-31 Canon Sales Co., Inc. Semiconductor device and semiconductor device manufacturing method
US6303525B1 (en) * 2000-08-18 2001-10-16 Philips Electronics No. America Corp. Method and structure for adhering MSQ material to liner oxide
JP3545364B2 (ja) 2000-12-19 2004-07-21 キヤノン販売株式会社 半導体装置及びその製造方法
US6703324B2 (en) 2000-12-21 2004-03-09 Intel Corporation Mechanically reinforced highly porous low dielectric constant films
DE10112561C2 (de) 2001-03-15 2003-12-18 Infineon Technologies Ag Verfahren zur Erzeugung von auf einem Substrat haftenden porösen organischen Schichten
JP2005504433A (ja) 2001-07-18 2005-02-10 トリコン ホールディングス リミティド 低誘電率層
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
WO2003044843A2 (en) 2001-11-16 2003-05-30 Trikon Holdings Limited Forming low k dielectric layers
JP3701626B2 (ja) 2001-12-06 2005-10-05 キヤノン販売株式会社 半導体装置の製造方法
JP4437922B2 (ja) * 2001-12-13 2010-03-24 インターナショナル・ビジネス・マシーンズ・コーポレーション 基板上の電気的相互接続構造およびその形成方法
DE10221503A1 (de) 2002-05-14 2003-11-27 Infineon Technologies Ag Zur wenigstens teilweisen Beschichtung mit einer Substanz bestimmter Metallgegenstand
US20040071878A1 (en) * 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
EP1543549A1 (en) * 2002-09-20 2005-06-22 Honeywell International, Inc. Interlayer adhesion promoter for low k materials
US7625642B2 (en) 2002-09-26 2009-12-01 Hitachi Chemical Co., Ltd Borazine-based resin, and method for production thereof, borazine based resin composition, insulating coating and method for formation thereof, and electronic parts having the insulating coating
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
DE102008011282A1 (de) * 2007-12-20 2009-06-25 Osram Opto Semiconductors Gmbh Gehäuseanordnung und Verfahren zur Herstellung eines Gehäuses
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US10544329B2 (en) 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
CN110911343A (zh) * 2018-09-14 2020-03-24 长鑫存储技术有限公司 浅沟槽隔离结构及其制备方法
US11121025B2 (en) * 2018-09-27 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Layer for side wall passivation
CN110357113B (zh) * 2019-07-03 2020-11-17 华中科技大学 一种3d纳米多孔二氧化硅的制备方法及产品
US11495532B2 (en) 2020-02-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques to inhibit delamination from flowable gap-fill dielectric
CN116396483A (zh) * 2023-03-16 2023-07-07 东南大学 一种用于防止二维共价有机框架材料中的孔道塌陷的方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5076980A (en) * 1990-08-01 1991-12-31 Geltech, Inc. Method of making sol-gel monoliths
US5488015A (en) * 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
US5548159A (en) * 1994-05-27 1996-08-20 Texas Instruments Incorporated Porous insulator for line-to-line capacitance reduction
US5494858A (en) * 1994-06-07 1996-02-27 Texas Instruments Incorporated Method for forming porous composites as a low dielectric constant layer with varying porosity distribution electronics applications

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007251212A (ja) * 1999-06-25 2007-09-27 Toshiba Corp Lsiの配線構造
JP2003530692A (ja) * 2000-04-07 2003-10-14 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ ウェーハ上の多孔性材料層とキャップ層の接着性を改善する方法
JP2013517616A (ja) * 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
WO2017145808A1 (ja) * 2016-02-24 2017-08-31 日産化学工業株式会社 シリコン含有組成物を用いた半導体基板の平坦化方法
JPWO2017145808A1 (ja) * 2016-02-24 2018-12-13 日産化学株式会社 シリコン含有組成物を用いた半導体基板の平坦化方法
TWI707400B (zh) * 2016-02-24 2020-10-11 日商日產化學工業股份有限公司 使用含矽組成物之半導體基板之平坦化方法
US10910220B2 (en) 2016-02-24 2021-02-02 Nissan Chemical Corporation Planarization method for a semiconductor substrate using a silicon-containing composition
US20200219759A1 (en) * 2018-10-04 2020-07-09 International Business Machines Corporation Back end of line integration for interconnects
KR20200066568A (ko) * 2018-11-30 2020-06-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스의 상호접속 구조체 내의 기능 콤포넌트 및 그 형성 방법
US11183454B2 (en) 2018-11-30 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Functional component within interconnect structure of semiconductor device and method of forming same
US11848267B2 (en) 2018-11-30 2023-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Functional component within interconnect structure of semiconductor device and method of forming same

Also Published As

Publication number Publication date
EP0881678A2 (en) 1998-12-02
KR19980087552A (ko) 1998-12-05
EP0881678A3 (en) 2000-12-13
TW411559B (en) 2000-11-11

Similar Documents

Publication Publication Date Title
JPH113888A (ja) 集積回路誘電体及び方法
US6008540A (en) Integrated circuit dielectric and method
US6351039B1 (en) Integrated circuit dielectric and method
US6059553A (en) Integrated circuit dielectrics
JP4428531B2 (ja) 半導体装置の製造方法
US7564136B2 (en) Integration scheme for Cu/low-k interconnects
JP3196203B2 (ja) 半導体素子の形成方法
US7094669B2 (en) Structure and method of liner air gap formation
US6187672B1 (en) Interconnect with low dielectric constant insulators for semiconductor integrated circuit manufacturing
US6528426B1 (en) Integrated circuit interconnect and method
TWI424493B (zh) 使用低介電常數介電質之積體電路系統及其製造方法
US6358849B1 (en) Integrated circuit interconnect and method
EP0822586A2 (en) Improvements in or relating to integrated circuits
US6284675B1 (en) Method of forming integrated circuit dielectric by evaporating solvent to yield phase separation
US6800928B1 (en) Porous integrated circuit dielectric with decreased surface porosity
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US20070232062A1 (en) Damascene interconnection having porous low k layer followed by a nonporous low k layer
US20070232047A1 (en) Damage recovery method for low K layer in a damascene interconnection
KR100685734B1 (ko) 다공성 스핀 온 글래스 조성물, 이의 제조 방법 및 이를이용한 다공성 실리콘 산화막 제조 방법
JPH11214658A (ja) 集積回路誘電体の製法
US20040115910A1 (en) Method for making interconnection networks
JP2004259753A (ja) 半導体装置およびその製造方法
US6784121B1 (en) Integrated circuit dielectric and method
KR100518988B1 (ko) 집적회로절연체및그제조방법
JP3371576B2 (ja) 半導体集積回路装置の製法