JPH11214658A - 集積回路誘電体の製法 - Google Patents

集積回路誘電体の製法

Info

Publication number
JPH11214658A
JPH11214658A JP10301396A JP30139698A JPH11214658A JP H11214658 A JPH11214658 A JP H11214658A JP 10301396 A JP10301396 A JP 10301396A JP 30139698 A JP30139698 A JP 30139698A JP H11214658 A JPH11214658 A JP H11214658A
Authority
JP
Japan
Prior art keywords
xerogel
dielectric
spin
interconnect
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10301396A
Other languages
English (en)
Inventor
Changming Jin
ジン チャンミン
Richard Scott List
スコット リスト リチャード
Joseph D Luttmer
ディ.ラットマー ジョセフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JPH11214658A publication Critical patent/JPH11214658A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Silicon Compounds (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】 エージング室中、流れ込む触媒雰囲気中でゲ
ルのエージングを行って、シリカキセロゲル誘電体を製
造する。 【解決手段】 キセロゲルエージング装置は、入口及び
出口を有するエージング室(110)を有し、半導体ウ
ェーハ上のキセロゲル前駆物質フイルムの上に気相とし
てゲル化触媒を流す。好ましい態様ではアンモニアと水
の蒸気ガス混合物触媒を用いる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、電子半導体装置
(electronic semiconductor
devices)に関し、詳しくは、誘電体構造体及
びそのような構造体の製造方法に関する。
【0002】
【従来の技術】高密度集積回路の性能は、金属線の抵抗
率及び隣接する線の間の容量結合による金属相互接続レ
ベルのRC時間遅延により決定される。容量結合は、隣
り合った線の間の誘電体(絶縁体)の比誘電率(比誘電
率、k)を減少させることにより低下することができ
る。
【0003】珪素集積回路で用いるための種々の誘電体
材料が示唆されてきた。即ち、二酸化珪素(約4.0の
k);フッ素化二酸化珪素(約3.0〜4.0のk);
ポリイミド、パリレン(parylene)、無定形テフロン等の
有機材料(約1.9〜3.9のk);及び二酸化珪素キ
セロゲル等の多孔質誘電体(気孔孔径に依存し、典型的
には1.3〜3.0のk)。気孔率は99体積%以下に
することができる。スミス(Smith)その他による「低k
誘電体のための周囲圧力での低密度キセロゲルの製造」
381 Mat. Res. Soc. Symp. Proc. 261(1995)参照。
【0004】集積回路誘電体に使用するための薄膜シリ
カキセロゲルは、(1)前駆物質の製造、(2)回転被
覆、(3)エージング、(4)溶媒交換、及び(5)乾
燥の一般的工程により製造することができる。酸・塩基
ゾルゲル反応は次の通りである:溶媒中でアルコキシド
の加水分解:
【0005】
【化1】
【0006】溶媒はエタノール(EtOH)でもよい。
次に、加水分解したアルコキシドを縮合(ゲル化)す
る:
【0007】
【化2】
【0008】縮合は、部分的縮合が都合のよい粘度まで
起きた後に回転被覆が行われるように調節する。
【0009】溶媒交換により、ゲルの気孔内に存在する
最初の溶媒を低表面張力溶媒により置き換え、乾燥中の
毛細管圧力を低下し、気孔の崩壊を最小にする。米国特
許第5,561,318号明細書には、この方法を変更
したものが記載されている。しかし、シリカキセロゲル
は未だ製造できるようにはなっていない。
【0010】
【発明が解決しようとする課題】本発明は、エージング
室中で、流れ込む触媒雰囲気中でゲルのエージングを行
って、シリカキセロゲル誘電体を製造をする。好ましい
態様の雰囲気は、飽和した水酸化アンモニウムを含む。
これは、比誘電率を低くするキセロゲルを組み込んだ層
間絶縁膜(interlevel dielectri
es)を製造することができる利点を有する。
【0011】
【課題を解決するための手段】好ましい態様は、連続的
に流れる触媒(例えば、アンモニア及び水蒸気混合物)
を用いたゲルエージング室+自動回転濯ぎ溶媒交換及び
疎水性表面処理を与えることにより、集積回路のための
層間絶縁膜の主成分としてキセロゲルの配合を促進す
る。これにより完全な自動化及び統合されたキセロゲル
形成が可能になる。前駆物質回転被覆機、エージング
室、回転濯ぎ機、及び乾燥室は、全てそれらの間にある
自動ウェーハ搬送機と一緒に構成されている。
【0012】
【発明の実施の形態】図面は明瞭にするため見易く描か
れている。間隙充填エッチバックの好ましい態様 図1a〜1gは、次のように、集積回路(例えば、CM
OS又はBiCMOS)のための好ましい態様の製造方
法の工程を立断面図で例示している。
【0013】(1) CMOS装置(場合により、+記
憶セル・アレー・ウエル及びバイポーラ装置埋め込み
層)のための浅いトレンチ絶縁及び一対のウエル(well)
を有する珪素ウェーハ(又は絶縁体ウェーハ上の珪素)
から出発する。閾値調節インプラント(これはセル・ト
ランジスタ及び種々の周辺トランジスタとは異なってい
てもよい)の遂行及びゲート誘電体の形成。珪化タング
ステン被覆ポリシリコンゲート材料及び二酸化珪素層を
蒸着し、次にそれらの層をパターン化して酸化物を頭に
もつゲートとゲートレベル相互接続部を形成する。ゲー
ト材料は、従来のベースインプラントも必要とするバイ
ポーラ装置のためのポリシリコンエミッターも与えるこ
とができる。浅いトレンチ絶縁酸化物104+ゲート1
10及びゲートレベル相互接続部112を有する珪素基
体102を示す図1aを参照されたい。ゲート110は
200〜300nmの高さ及び130〜250nmの長
さになっていてもよい(図1aは、ゲートの長手方向に
沿った断面図であり、ゲートはそれらの長さよりも遥か
に大きな幅を有するのが典型的である)。別法として、
ポリシリコンゲートを形成し、次に自己整合性珪化(s
elf−aligned silicidation)
(次の工程のソース/ドレイン及び側壁誘電体の形成
後)を行い、ゲートの頭及びソース/ドレインの両方の
上に珪化物を形成する。
【0014】(2) 少しドープしたドレイン・インプ
ラントを行い、次に蒸着+異方性エッチンクによりゲー
トに側壁誘電体を形成する。ドーパントを導入し、ソー
ス及びドレイン114を形成する。ゲートレベル構造体
を、平坦化誘電体層120(例えば、BPSG、又はT
EOSからの蒸着のようなドープしてない酸化物を含め
た等角及び平坦化層の積層体)でゲートレベル構造体を
覆う。図1b参照。
【0015】(3) 一つのトランジスタ、一つのキャ
パシタ記憶セルを用いた埋め込み記憶セルアレーを有す
る構造体のために、次にビットライン及びセルキャパシ
タを形成する。簡明にするため、そのような工程は例示
されていないが、誘電体120の上に蒸着した付随の付
加的誘電体は、丁度誘電体120の一部分と考えること
もできる。
【0016】(4) 選択したソース/ドレイン114
まで下へ、ゲートレベル相互接続部112上の位置まで
(そして埋め込まれた記憶セルのための選択されたビッ
トライン位置まで)平坦化誘電体120中にホトリトグ
ラフにより孔(接点、バイア)を定め、エッチングす
る。50nmのTi、50nmのTiN、500nmの
W又はAl(Cu及びSiでドープされている)及び5
0nmのTiNのような金属積層体をブランケット蒸着
する(バイアの充填を含む)。一番下のTi及びTiN
は拡散障壁を形成し、一番上のTiNはリトグラフのた
めの反射防止被覆を形成する。W又はAl蒸着の前に、
一番下のTiはソース/ドレインと反応させ、珪化物を
形成し、金属対珪素接触を安定化させるようにしてもよ
い。Ti及びTiNは物理蒸着(PVD)又は化学蒸着
(CVD)(例えば、TiCl4 +NH3 →TiN+H
Cl)により蒸着してもよい。アルミニウムはPCDに
より蒸着し、次に高圧下でバイア中へ押し込むか、又は
CVDにより蒸着してもよい。WはCVDにより蒸着す
る。別法として、バイアはCVDブランケット蒸着によ
りWで充填し、次にエッチバックしてバイア中にWだけ
を残すようにし(Wプラグ)、次にブランケットTi、
TiN、Al、及びTiNの蒸着を行なってもよい。
【0017】(5) 第一レベル金属をホトリトグラフ
により規定し、エッチングし、第一レベル相互接続部1
30を形成する。図1c参照。隣接する相互接続部13
0の間の間隙は、200〜300nmの程度であり、こ
れらの最小間隙が容量結合を左右する。
【0018】(6) 酸素又はオゾンを用いたTEOS
のプラズマ促進蒸着により相互接続部130+露出誘電
体120の上に50nmの厚さの等角酸化物ライナー1
40を蒸着する。ライナー140は金属表面を不動態化
し、後で付着するキセロゲルの気孔に沿った金属の拡散
を防ぐ。それは、電気移動を抑制し、相互接続部から相
互接続部への漏洩電流を抑制する機械的強度も与える。
他の誘電ライナー材料を用いてもよいが、そのライナー
材料が最小間隙間の有効な比誘電率に寄与するものとす
る。従って、大きな比誘電率のライナー材料は非常に薄
くなければならない。
【0019】(7) キセロゲル前駆物質の溶液(例え
ば、加水分解したTEOS単量体から縮合したオリゴマ
ーをエタノール+エチレングリコール又は他のポリオー
ル溶媒中に入れたもの)を用い、HCl又はNH4 OH
のような触媒は添加せずにライナー140を回転被覆す
る。被覆溶液の粘度が、下の粘度についての節で記載す
るように、得られるキセロゲルの平面性を決定する。回
転被覆した被覆142は充分厚くし、相互接続部の上を
約100nm覆い、最小間隙を満たし、相互接続部の間
の開口領域中で約300nmの厚さになるようにする。
200mmの直径(8インチ)のウェーハ上、約3ml
の前駆物質で充分である。図1d参照。エタノールは迅
速に蒸発するので、回転速度は平坦化のために増大す
る。詳細な点については次の粘度についての節を参照さ
れたい。
【0020】(8) ウェーハ上の被覆溶液を室温でN
3 +H2 O雰囲気中で約1.5分間エージングする。
NH3 (及びH2 O)は被覆溶液中に溶解し、ゲル化
(オリゴマーの重合体への重合)に触媒作用を及ぼす。
図1h〜1iの立断面図及び平面図で例示したように、
エージング室装置を用い、NH3 +H2 Oガス混合物を
連続的に流しながら、エージング中一定比のNH3 +H
2 O雰囲気を維持する。200mmのウェーハの場合、
エージング室190は大略220mmの直径及び大略5
mmの高さを有し、密に且つ均一に分布したガス入口が
底周辺のまわりにあり、中心ガス出口が取り外し可能な
蓋の中にある。ウェーハは室190の底から伸びた幾つ
かのピンの上に置くか、又はウェーハは室190の底に
形成されたウェーハチャックによって下に保持すること
ができる。室190は処理工程の全てが完全に自動化で
きるように、標準スピン・オン・ガラス(spin-on-glas
s)積層装置に一体化することができる。被覆した溶液
上に一定のNH3 対H2 O比を有するガス混合物(NH
4 OH溶液を通ってNH3 を気泡として通すことにより
発生することができる)を流すことにより、新しいウェ
ーハを室中へ入れた後、毎回行われる空気との交換時間
が短くなり、ウェーハ表面の直ぐ上の被覆溶液層と、新
しいNH3 +H2 Oの交換速度も増大する。NH3 の気
泡中への流量は、0.5〜10リットル/分の範囲にあ
る。これは、大略1〜23秒毎の室190のフラッシン
グを意味する。エージング室190中の圧力は大気圧よ
り僅かに高く、NH3 対H2 O比は、40〜100の範
囲にすることができる。室温でNH 3 は気体状態になっ
ており、H2 Oの蒸気圧は約15トールである。飽和N
4OH水溶液上のNH3 対H2 Oの蒸気圧比は大略4
0対1である。
【0021】図1jは、エージング室190中へ注入す
る一定NH3 対H2 O比の混合物を発生するために別々
に制御されたNH3 及びH2 Oの導入口を有する蒸発器
を用いている、図1iの気泡発生装置に対する別のもの
を示している。この装置では、NH3 はガス状で導入
し、H2 Oは液体状で導入する。NH3 対H2 Oの分圧
比は、10対100の範囲にあり(従って、ガスは主に
NH3 である)、NH3の流量は、気泡発生装置の場合
のように、0.5〜10リットル/分の範囲にある。こ
の装置は、NH3 対H2 O蒸気比を広い範囲で制御する
ことができる。
【0022】次のアンモニア触媒は、ガス状態で送るこ
とができる他の触媒で置き換えてもよい。例えば、HC
l又はHCl+H2 Oを同様な装置で用いることもでき
る。
【0023】被覆したウェーハへ連続的にガス状触媒を
送る前記装置は、被覆したウェーハを100℃へ加熱す
ることにより、ゲル化反応速度を増大するように修正す
ることができる。しかし、高温は溶媒(例えば、エチレ
ングリコール)の蒸発を増大し、重合体網状組織の崩壊
をもたらすことがある。従って、ガス状触媒流中に飽和
溶媒蒸気を含有させる。前述の装置で、エタノールは室
温でさえも迅速に蒸発し、溶媒の低蒸気圧成分だけを注
入しさえすればよいことに注意されたい。気泡発生装置
については、NH3 +H2 OガスをNH4 OH気泡発生
器中で発生させ、次にエチレングリコール気泡発生器に
100℃で通して気泡発生させるのに用い、次にエージ
ング室190中へ注入する。気化装置は、単にエチレン
グリコールのための第三入口を有し、ガス混合物をエー
ジング室の温度以上へ加熱する。大気圧で100℃の、
大略90%のNH3 、8%のH2 O、及び2%のエチレ
ングリコールからなるガス混合物は、触媒及び飽和溶媒
の両方を与える(100℃でエチレングリコールの蒸気
圧は約16トールである)。水の温度は余り高くするこ
とができない。高い温度はNH3 のH2 O中での溶解度
を減少し、NH4 OH溶液のpH値を減少し、その触媒
効果を減少する。
【0024】(9) 重合体網状組織気孔中の溶媒(主
にエチレングリコール)を、エタノールで連続的回転濯
ぎを行うことによりエタノール又は或る他の低表面張力
液体で置き換える。200mmのウェーハのための回転
濯ぎは、100〜400rpmの回転速度及び0.5〜
2.0リットル/分のエタノール付与速度で10秒〜3
0秒で行うことができる。この置換を行わないと、最初
の溶媒の表面張力が大きいため、溶媒の蒸発中、乾燥す
る気孔内の表面張力のため重合体網状組織を(部分的
に)崩壊させることがある。しかし、重合体網状組織が
その表面張力に耐えるのに充分な強度を持つならば、こ
の工程は省略することができる。
【0025】(10) ヘキサメチルジシリザン(HM
DS)のような疎水性基の原料を前の工程の溶媒交換し
たゲルの上に回転被覆し、重合体網状組織に残っている
ヒドロキシル基を全て疎水性基、例えば、トリメチルシ
リル基に転化する。200mmウェーハの場合のHMD
Sによる回転濯ぎは、100〜400rpmの回転速度
及び0.5〜2.0リットル/分のHMDS付与速度で
10〜30秒で行うことができる。反応は次のようなも
のになるであろう; ≡SiOH+(CH3)3 Si−NH−Si(CH3)3
≡Si−O−Si(CH3)3 +NH3 典型的には、全加水分解+縮合反応で、TEOSのエト
キシシリコン結合のほぼ70%がシロキサン結合へ転化
し、エトキシシリコン結合の残りの30%が殆どシラノ
ール結合へ転化する。疎水性基置換によりこれらのシラ
ノール結合の殆どを除去する。シラノール基は疎水性で
あり、大きな比誘電率を与え、潜在的金属腐食問題を起
こす。しかし、加水分解+縮合がほんの僅かな数のヒド
ロキシル基しか生じないならば、この工程は省略するこ
とができる。
【0026】(11) ヘキサンのような低表面張力液
体でウェーハを回転濯ぎする。200mmウェーハのた
めの回転濯ぎは、100〜400rpmの回転速度及び
0.5〜2.0リットル/分のヘキサン付与速度で10
〜30秒で行うことができる。ゲルの重合体網状組織が
充分強いならば、この溶媒交換は省略してもよい。10
00〜3000rpmでの最終的5〜10秒の回転で、
ゲルの乾燥を開始させるのに役立つ。三つの回転濯ぎ工
程(溶媒交換、疎水性基置換、及び最終溶媒交換)を、
最初の前駆物質被覆に用いた同じ回転被覆カップ、又は
別のカップ、又はホトレジスト現像カップ中でさえも、
全て連続的に行うことができる。
【0027】次にウェーハを300〜350℃に約60
秒間加熱することによりヘキサンの大部分を蒸発し、ゲ
ルを乾燥し、それにより気孔中に蒸気/空気の入った重
合体網状組織からなるキセロゲルを形成する。キセロゲ
ルは50〜90体積%の気孔及び10〜50体積%の重
合体網状組織をもち、それら気孔は10〜20nm程度
の平均直径を有する。
【0028】乾燥は自動化してもよく、図1kの平面図
に例示したように、回転被覆、エージング、及び回転濯
ぎと一体化してもよい。
【0029】(12) フォーミング(forming)ガス
(95%N2 +5%H2)の還元性雰囲気中で425℃で
30分間疎水性キセロゲルを更に乾燥する。乾燥により
キセロゲル気孔から残留液体を排除する。下の乾燥につ
いての節で、別の乾燥環境について記述する。
【0030】相互接続部間の間隙内にあるキセロゲル
は、取り巻く表面によって束縛され、(表面から引き離
れることなく)収縮することができないのに対し、上に
横たわるキセロゲルは束縛なく収縮できることに注意さ
れたい。従って、間隙内の相対的気孔体積は、相互接続
部上の相対的気孔体積よりも大きく、従って、比誘電率
は相互接続部上よりも間隙内の方が低くなっている。
【0031】(13) キセロゲル142を約100n
mエッチバックし、相互接続部130の上のライナーを
露出させる。図1e参照。エッチバックはフッ素を主と
したプラズマにより行う。相互接続部130の一番上か
らキセロゲルを除くためのこのエッチバックは、相互接
続部の次のレベル中のバイアがキセロゲルを通してエッ
チングされる必要はなく、そのため横のエッチング制御
が簡単で、キセロゲルからそのエッチングされたバイア
中へのガス放出が問題にならなくなると言う利点を有す
る。
【0032】(14) 200〜300nmの平均厚さ
を持つ層144上に水素シルセスキオキサン(HSQ)
を回転被覆し、それを400℃で硬化する。HSQ層1
44は下のキセロゲル142に(恐らく表面にある開口
気孔を満たすことにより)接着し、厚い表面被覆誘電体
を後で蒸着するための良好な表面を与える。図1e参
照。HSQ接着層144がないと、キセロゲル142の
疎水性表面は、プラズマ促進TEOS分解による酸化物
蒸着に対し良くない接着性を与える。下の接着に関する
節で、キセロゲル表面の接着性の向上に好ましい態様に
ついて更に記述する。
【0033】(15) 酸素又はオゾンを用いたプラズ
マ促進TEOS分解により、HSQ表面に1500nm
の厚さの誘電体146を付着する。次に化学的機械的研
磨(CMP)により誘電体146を平坦化し、約100
0nmのインターメタルレベル誘電体(interme
tal level dielectric)厚さを与
える。これにより第一金属レベル相互接続部を完了し、
工程(4)から(15)を繰り返すことにより更に別の
レベルが得られ、この場合、平坦化した誘電体中のバイ
アは、直ぐ下の相互接続部まで伸びている。バイアは、
垂直に積み重なったバイアを簡単に形成し、小さな金属
パッチを上に付けることにより、二つ以上のレベルを通
って効果的に伸びるようにすることができることに注意
されたい。
【0034】エッチバックを行わない間隙充填 前の好ましい態様では、相互接続部の上のライナーを露
出するため、工程(13)でキセロゲルをエッチバック
した。これに対しエッチバックしない好ましい態様で
は、このエッチバック工程を省略し、キセロゲルの乾燥
が完了した直後に接着層(例えば、HSQ)を回転塗布
する。これは、図1a〜1dに相当する前の工程(1)
〜(12)に続き、工程(13)を抜かし、工程(1
4)に続く。付着したままのキセロゲル242の上の平
均100nmの厚さを持つ接着層244を示し、その接
着層の上に700nmの厚さの平坦化誘電体層246を
示している図2aを参照されたい。
【0035】前の好ましい態様の工程(15)と同様
に、次に、誘電体層246、接着層244、キセロゲル
242、及びライナー140を通って下の相互接続部1
30までバイアを定め、エッチングする。バイアエッチ
ングは、キセロゲル242の約100nmを通って行く
だけであることに注意されたい。実験的には、露出した
キセロゲルはバイア底部を汚染しないように見え、相互
接続部260のTiN又はTi/TiN障壁はキセロゲ
ル242と良好な接触面を形成する。
【0036】勿論バイア側壁の殆どは酸化物246なの
で、障壁層によるキセロゲル242への接着の悪ささえ
も問題を与えない。相互接続部260をパターン化し、
ライナー270を付着し、第二レベルキセロゲル272
を形成し、HSQ接着層274を回転被覆し、前に述べ
たエッチバックが好ましい態様の場合に相当する間隙充
填工程と同じやり方で表面被覆層276を蒸着する。図
2b参照。
【0037】ダマシーンに好ましい態様 更に別の好ましい態様では、図3a〜3fに例示したよ
うに、ダマシーン(damascene)法でキセロゲル誘電体を
用いる。特に、間隙充填の好ましい態様(図1a〜1
b)の工程(1)〜(4)で始まり、工程(5)(ブラ
ンケット金属を第一レベル相互接続部を形成するように
パターン化する)の代わりに、バイア中だけに金属を残
すようにブランケット金属をエッチバックする。バイア
中の金属プラグ330を示す図3a参照。金属エッチバ
ックは、例えば、プラズマエッチング〔負荷低下(loadi
ng drop)による終点〕又はCMPにより行うことができ
る。別法として、金属ではなくドープしたポリシリコン
を用いた同じ方法によりバイアを充填する。その場でド
ープしたポリシリコンのブランケット蒸着によりバイア
を充填し、次にエッチバックしてバイアの外側のポリシ
リコンを除去する。
【0038】バイアを充填した後、キセロゲル前駆物質
溶液(必要ならば、+触媒)を回転被覆し、前の間隙充
填の好ましい態様の工程(7)〜(12)のように処理
し、700nmの厚さのキセロゲル層342を形成す
る。
【0039】次に、ホトリトグラフにより相互接続部の
位置を定め、これらの位置で誘電体120又はプラグ3
30まで下へキセロゲル中にトレンチ341をエッチン
グする。図3b参照。隣接するトレンチの間の最小間隙
は約200〜300nmであり、従って、自立するキセ
ロゲル帯343は大略3:1の縦横比を有する。エッチ
ングはCF4 +CHF3 +He+Arのようなフッ素を
主とした異方性プラズマによりエッチングすることがで
きる。キセロゲルは大きな気孔率を有するので、それは
酸化物よりも遥かに速くエッチングされ、従って、誘電
体120が酸化物であっても、オーバーエッチングが下
の誘電体120の多くを除去することはない。別法とし
て、誘電体120はキセロゲルをトレンチエッチングす
るための一層選択的なエッチングを停止するための窒化
物上方部分を持っていてもよい。
【0040】PVD又はCVDにより20〜50nmの
厚さのTiN等角障壁層(conformalbarrier layer) 3
50をブランケット蒸着する。他の障壁金属には、Ta
N、Ta2 N、W2 N 、及びTiSiN(これらは無
定形TiNのシラン処理により形成することができる)
が含まれる。キセロゲル342の疎水性表面は、障壁層
のための充分な接着性を与えないことがあるので、水素
含有プラズマ中でその疎水性メチル基を除去することに
よりキセロゲル表面を活性化する。下の接着についての
節には表面活性化の態様が記載されている。CVD T
iNは、PVDよりも一層良好な側壁覆いも与えるの
で、アンモニア+テトラキスジメチルアミノチタン(T
DMAT)のようなCVD法を用いる。
【0041】TiN上に200nmの厚さの銅層352
を付着する(例えば、電気メッキ、CVD、PVD)。
これにより前の工程でキセロゲル342中にエッチング
した相互接続部トレンチを充填し、且つウェーハの残り
を覆う。図3c参照。
【0042】CMPにより相互接続部の外側の銅352
部分を除去する。CMPはメッキされた銅中のどのよう
な凹凸も平坦化する。別法として、銅のハロゲンプラズ
マエッチングを用いるが、これらのエッチングはかなり
低いエッチング速度を有するのが典型的である。なぜな
ら、例えば、塩化銅は重合する傾向を持つからである。
CuClは、気体のClCu(PEt3)2 を形成するよ
うに反応するトリエチルホスフィンのような強ルイス酸
で除去することができる。障壁層350はCMP研磨の
停止にもなる。この場合には、その研磨後、障壁層はエ
ッチング除去されなければならない。このCMP(又は
エッチング)は、相互接続部352の所だけ銅を残す。
【0043】銅研磨後、700nmの厚さの誘電体層3
60を蒸着する。図3d参照。誘電体は酸化物でもよ
く、酸素又はオゾンを用いたプラズマ促進TEOS分解
により蒸着する。これにより第一レベル接続部が完了し
(図1fに類似)、前記工程の反復(バイアエッチング
及び充填、キセロゲル付着、トレンチエッチング、トレ
ンチ充填、及び誘電体蒸着)により更に相互接続レベル
を与える。
【0044】CMP損傷に対しキセロゲルを一層保護す
るための別の構造体には、相互接続トレンチをエッチン
グする前にキセロゲル342の表面上に100nmの厚
さの酸化物層346(接着層344の上に)形成するこ
とが含まれる。この場合には、酸化物(+接着層)及び
キセロゲルの両方を通ってトレンチをエッチングする。
図3e参照。次に前に記載した工程、障壁蒸着、銅電気
メッキ、及びCMPを行い、相互接続部の外側の銅を除
去する。CMPは酸化物+接着層の一部分(又は全て)
を除去することができるが、その酸化物(+接着層)
は、キセロゲルがCMPに露出しないように保護する。
図3f参照。勿論、良好なCMP特性も与える厚い接着
層を、薄い接着層+蒸着酸化物の代わりに用いることも
できる。
【0045】キセロゲル+最上レベルの相互接続部上の
誘電体中にバイアをエッチングし、そのバイアを充填
し、キセロゲル層を形成し、そのキセロゲル中に相互接
続部をパターン化し、ブランケット金属を蒸着し、研磨
した相互接続部を完成し、被覆誘電体を蒸着する諸工程
を繰り返すことにより、更に上のレベルの相互接続部を
作ることができる。
【0046】スロットの幾何学的形態の好ましい態様 更に別の好ましい態様では、相互接続部の上に酸化物を
蒸着し、最小の間隔を開けた相互接続部の間のトレンチ
をエッチングし、次にそのトレンチを回転被覆及びエッ
チバックによりキセロゲルで充填する。特に図4a〜4
cはこの方法を例示している。図4aはトレンチ441
を示し、それらは平坦化した酸化物440中の最小の間
隔を開けた隣接する相互接続部430の間でエッチング
されている。この場合も相互接続部430は700nm
の高さ及び200nmの幅をもち、200nmの最小間
隔を持っていてもよい。
【0047】次に、キセロゲル前駆物質溶液を回転被覆
し、トレンチ441+酸化物440の水平部分を充填
し、次に反応させてゲルを形成し、乾燥してキセロゲル
442を形成する。トレンチの外側のキセロゲル全てを
除去するためキセロゲル442をエッチバックする(フ
ッ素を主としたプラズマ)。図4b参照。
【0048】酸化物440及びキセロゲル442の上に
厚い酸化物460を蒸着し、平坦化する。キセロゲル4
42に対する接着性の悪さは問題にならない。なぜな
ら、酸化物440の領域が大きいからである。酸化物4
60中にバイアを下の相互接続部430の所までエッチ
ングし、金属を蒸着してパターン化し、相互接続部47
0を形成する。図4c参照。この方法は、隣接する相互
接続部の間の最小間隙中にだけキセロゲルを与える。勿
論これはキセロゲルが容量結合を低下するのに最も大き
な効果を有する場合である。
【0049】相互接続部430は窒化珪素ライナーを持
っていてもよい。これにより隣接する相互接続部の間の
最小間隙中にトレンチを選択的酸化物エッチングするこ
とを可能にし、金属相互接続部430からキセロゲル4
42を保護するように窒化物ライナーを残す。しかし、
そのようなライナーは、隣接する相互接続部の間の有効
比誘電率を増大する。
【0050】表面充填接着 好ましい態様のHSQ接着層144は、やはり良好な間
隙充填性を有する他の材料の層により置き換えてもよ
い。特に、キセロゲルの平均気孔孔径は比誘電率と共に
変化し、約1.5〜2.0の比誘電率に対しては平均気
孔孔径は10〜25nmの程度である。従って、表面で
この大きさの開口気孔を充填するように付着することが
できる材料は、キセロゲルへ結合する大きな接触面積を
有し、且つ接着を増大する機械的相互結合性を有するも
のである。図5は開口気孔充填を例示する立断面図であ
る。図5はキセロゲル510が表面から離れた内部気孔
512及び表面の所に開口した孔514〜516を含む
ことを示している。間隙充填材料層520は本質的に全
ての気孔516を充填するが、気孔514のほぼ半分し
か充填していない。もし間隙充填材料が表面に開口した
気孔の平均体積のほぼ50%以上を充填するならば、接
触面積は増大し、機械的相互固定は大きくなる。従っ
て、後の厚い誘電体蒸着のための良好な表面を与える間
隙充填材料を、接着層材料として用いることができる。
【0051】プラズマ促進TEOS酸化物(又はフッ素
化酸化物)の厚い誘電体については、次の材料がキセロ
ゲル表面での開口気孔を充分に充填し、接着層を与え
る:HSQ、減圧オゾンTEOS系CVD(SACV
D)、メチルシルセスキオキサン、フロー・フィル(Flo
w Fill)酸化物(0℃の温度で過酸化水素中でシランか
らのシラノールの回転被覆)、等々。
【0052】表面活性化接着 蒸着誘電体のための接着を与えるために前の好ましい態
様の場合のように、HSQ144等の気孔充填材料をキ
セロゲル142に適用する(apply,施す)のでは
なく、別の好ましい態様では、10〜90%の水素及び
残余のアルゴンからなるプラズマを1分間0.1〜5ト
ールの範囲の圧力で適用してキセロゲル表面を活性化す
ることにより、キセロゲルの表面接着性を向上させる。
低エネルギープラズマ水素は、次のような正味の反応に
より、疎水性キセロゲルの表面メチル基を除去する。 −Si(CH3)3 +6H→−SiH3 +3CH4 水素化珪素表面は、蒸着(フッ素化)酸化物及び他の誘
電体、例えばパリレンのような有機重合体の両方に対す
る良好な接着を与える。
【0053】更に、水素プラズマ処理は、後のプラズマ
促進酸化物蒸着と同じ室で行うことができる。従って、
水素プラズマは殆ど処理を複雑にすることはない。
【0054】プラズマ活性化キセロゲル表面は、前に記
載したダマシーン相互接続部構造体に用いたTiN及び
Ta2 Nのような障壁の蒸着に対しても良好な接着性を
与える。
【0055】NH3 、O2 のような他の原料ガスに基づ
くプラズマによるプラズマ表面処理も、次のような反応
により表面を活性化する。 −Si(CH3)3 +NH3 →−Si(NH2)3 +CH4 −Si(CH3)3 +O2 →−SiO+CO2 +H2
【0056】表面殻接着 別法としてのキセロゲル表面接着性の向上は、表面での
キセロゲルの薄い層を崩壊し、比較的連続した殻を形成
することである。この殻は蒸着層のための大きな接触面
積を与える。表面近くの潰れた気孔を有する殻の連続的
表面を例示する図6参照。殻を形成するため、キセロゲ
ル表面にイオンビームを衝突させる。典型的には、10
16/cm2 の線量及び20keVのイオンエネルギーを
有するアルゴンイオンビームを用いたイオンインプラン
ター、別法として、アルゴンプラズマによりイオン衝突
を与えることができる。堅い殻は約20〜50nmの厚
さになる。その堅い殻はプラズマ促進蒸着酸化物に対す
る良好な表面接着を与える。
【0057】実際に、平均気孔孔径をDとすると、表面
の距離2D内のキセロゲルの部分は、表面から離れた気
孔率の半分よりも遥かに小さい気孔率(全気孔体積対全
体積の比)を有する。
【0058】ゲル乾燥 上述の好ましい態様の工程(12)でのゲルの乾燥は、
種々の圧力で他の温度及び他の還元性雰囲気で達成する
こともできる。還元性雰囲気は、明らかに残留吸着剤を
除去するのに役立ち、それら吸着剤は部分的に酸化され
ていてもよい。この処理は、比誘電率をそのヒドロゲル
のための安定な最小値まで低下する。
【0059】特に、400〜500℃の範囲で1〜60
分の加熱で、1μmまでのキセロゲルの厚さに対して充
分であり、低い温度程長い時間がかかる。典型的には、
集積回路のための方法は熱的経費及び温度に対する上限
を有し、長い乾燥であるが、低い温度が必要になること
もある。しかし、他の熱処理のために用いられるのと同
じ条件での乾燥、例えば、430℃で30分間のフォー
ミングガス(forming gas)中でのアルミニ
ウム焼結が便利であろう。
【0060】還元雰囲気は1ミリトール〜10トールの
圧力で、ガスは種々の割合での不活性ガス(N2 、A
r、He、…)と一緒にしたH2 でもよい。CH4 、N
3 、…のような他の還元性ガスも可能である。
【0061】粘度 誘電体溶液の回転被覆は、典型的には二つの成分からな
る。溶解固体及び溶媒である。回転被覆工程中、殆どの
溶媒は蒸発して90%より多い固体含有量のフイルムを
残し、それを後でホットプレート又は炉による加熱で重
合する。最初の固体含有量は比較的小さく(30%より
少なく)し、ウェーハを横切って均一なフイルムの厚さ
を確実に与え、大きな縦横比の特徴を持つ良好な間隙充
填を確実に与える。この低い固体含有量は、一般に低い
粘度及び良くない平坦化を意味する。
【0062】前の好ましい態様で用いた好ましい態様の
前駆物質溶液は、三つの成分、高蒸気圧溶媒(エタノー
ル)、低蒸気圧溶媒(エチレングリコール等のポリノー
ル)、及びTEOSオリゴマーを有すると考えられ、体
積%は大略70%の高蒸気圧溶媒、20%の低蒸気圧溶
媒、及び10%のオリゴマーである。この三成分系は、
回転被覆工程の二つの独立した段階を可能にする。第一
は、典型的な回転被覆誘電体の場合のように三成分系
を、均一な厚さ及び良好な間隙充填を確実に与えるのに
充分な低い粘度を持つように調節することができる。し
かし、一度高蒸気圧エタノールが蒸発したならば、オリ
ゴマーが溶解した低蒸気圧ポリノールは丁度架橋(重
合)が始まる時に依然として液体である。この粘稠な液
体は既に良好なウェーハ厚さの均一性及び間隙充填を達
成しており、高速度で回転し、一層良好な平坦化を達成
することができる。例えば、最初の回転被覆は1000
rpmで行い、エタノールが蒸発した後の第二回転被覆
は5000rpmにすることができる。最終キセロゲル
フイルムのプロファイルは、最終回転被覆工程後のポリ
ノール/オリゴマー液体の液化プロファイルと同じにな
ることに注意されたい。なぜなら、ゾルゲル法は全液体
体積全体に亙って架橋網状組織を形成するからである。
従って、二溶媒ゾルゲル法は、平坦化からのフイルム厚
さの均一性及び間隙充填の効果的な分離を可能にする。
【0063】ポリノール/オリゴマー液体の粘度は、ポ
リノールの適当な選択、又は最終高速回転前の架橋進行
を可能にする時間の選択により調節することができる。
図7a〜7bは、二段階回転被覆を例示している。図7
aは、低速度で回転被覆した後の低粘度三成分系プロフ
ァイルを示しており、点線は、高蒸気圧溶媒が蒸発した
時の体積減少を示している。図7bは、粘稠な液体を用
いた平坦化のための高速回転後の続くプロファイルを示
している。
【0064】ダミーメタル及びスロット付パッド 緻密な酸化物に比較して機械的強度の低いキセロゲル
は、応力集中領域又は大きな機械的応力を持つ領域で二
つの潜在的問題を与える。特に、最小金属ピッチの数倍
の大きさより大きな長い範囲に亙って下にある金属トポ
グラフィーの変化がキセロゲルの厚さの変動及び亀裂開
始点を与える結果になる。更に、チップの高度に応力を
受けたボンドパッド(bondpad)領域では、そのボンドパ
ッドの頂上にあるキセロゲルの厚さを最小にするのが好
ましい。好ましい態様はこれらの問題の両方を、開口領
域中のダミー金属とスロット付ボンドパッドとの組合せ
によって解決する。この構成法の更に別の利点は、珪素
表面に対しキセロゲルの一番上の表面の高さが殆ど一定
であることである。これによりCMP法の工程許容度が
増大する。
【0065】図8a〜8bは、相互接続部810〜81
2上のキセロゲル820の立断面図及び平面図を示して
いる。好ましい態様の相互接続部構造は、810と81
1の間のような開口領域中を充填するように、電気的に
接続されていない相互接続部850〜852を追加し、
それによって図8c〜8dに例示したようにキセロゲル
を平坦化する。実際、相互接続部850〜852はバイ
アによって他のレベルの相互接続部のいずれにも接続さ
れておらず、電気的に浮いている。また、ダミー相互接
続部は電気的に活性な相互接続部と同じ幅である必要は
なく、852〜853によって示されているように区分
されていてもよい。実際、大きくない開口領域を有する
任意の幾何学的模様で充分である。ダミー相互接続部は
最も近い活性相互接続部から最小間隙より大きい所にあ
っても、依然として顕著な表面傾斜のない充填部になっ
ていればよい。
【0066】この平坦化キセロゲルは、最小限の量の平
坦化を用いさえすればよいことを意味している。実際、
HSQ接着層を用いても、次のレベルの相互接続部のた
めに上に蒸着した誘電体のCMPを回避するのに充分な
平坦性を持つものにすることができる。
【0067】ダマシーン構造の相互結合部を用いた場
合、ダミー相互結合部はキセロゲルの開口領域を減少
し、キセロゲル相互結合部層に対する機械的強度及び熱
伝導性を増大する。図3b〜3dを再び考慮されたい。
更に、相互結合部(電気的に活性なもの及びダミー)
は、図3e〜3fのように酸化物及び接着層を必要とす
ることなく、直接CMPに対する研磨停止を与えること
ができる。ダミー相互接続部も垂直な熱的伝導体を与
え、キセロゲルの熱的伝導性が限定されるのを回避する
働きをしている。
【0068】ボンドパットのような横に伸びた金属構造
体は、回転被覆されるキセロゲルに対し開口領域を上昇
させるような働きをし、それによって厚い頂部層を有す
る。回転被覆したキセロゲルを平坦化するため、好まし
い態様のボンドパット及び他の伸びた金属構造体を、図
8eに例示したように、一連の相互に結合した相互接続
部セグメントとして形成する。
【0069】薄いライナー 相互接続部上の50nmの酸化物ライナー(図1dのラ
イナー140参照)は、有効比誘電率を低下するため他
の誘電体材料で置き換えてもよい。特に、相互接続部金
属と反応せず、キセロゲルが粘着する等角蒸着可能な
(conformally depositable)
材料を用いることができる。例えば、パリレンは気相か
ら等角蒸着することができ、次のキセロゲルはパリレン
に粘着する。他の材料にはフッ素化パリレン(例えば、
AF4)等が含まれる。
【0070】修正 好ましい態様は、表面接着、還元雰囲気乾燥、及びダミ
ー相互結合部の特徴を、二段階回転被覆のための三成分
系を用いて維持すると共に、種々のやり方で修正するこ
とができる。
【0071】例えば、キセロゲルをハイブリッド有機シ
リカにしてもよく〔最初のTEOSを、(EtO)3Si
−R−Si(OEt)3(式中、RはC6 4 のような炭
素結合基である)のような単量体で置き換えてもよ
く〕、大きさは縮小してもよい。
【0072】以上の説明に関して更に以下の項を開示す
る。 (1) (a) 本体上に誘電体前駆物質の層を被覆
し、(b) 前記層の上に前駆物質反応触媒を流し込
み、次いで(c) 前駆物質反応を完了して誘電体層を
形成する、諸工程からなる誘電体層製造方法。 (2) キセロゲルエージング装置は、入口及び出口を
有するエージング室(110)を有し、半導体ウェーハ
上のキセロゲル前駆物質フイルムの上に気相としてゲル
化触媒を流し込む。好ましい態様ではアンモニアと水の
蒸気ガス混合物触媒を用いる。
【図面の簡単な説明】
【図1a】好ましい態様の集積回路製法の工程及び装置
を示す立断面図である。
【図1b】好ましい態様の集積回路製法の工程及び装置
を示す立断面図である。
【図1c】好ましい態様の集積回路製法の工程及び装置
を示す立断面図である。
【図1d】好ましい態様の集積回路製法の工程及び装置
を示す立断面図である。
【図1e】好ましい態様の集積回路製法の工程及び装置
を示す立断面図である。
【図1f】好ましい態様の集積回路製法の工程及び装置
を示す立断面図である。
【図1g】好ましい態様の集積回路製法の工程及び装置
を示す立断面図である。
【図1h】好ましい態様の集積回路製法の工程及び装置
を示す立断面図である。
【図1i】好ましい態様の集積回路製法の工程及び装置
を示す立断面図である。
【図1j】好ましい態様の集積回路製法の工程及び装置
を示す立断面図である。
【図1k】好ましい態様の集積回路製法の工程及び装置
を示す立断面図である。
【図2a】別の好ましい態様の集積回路製法の工程及び
装置を示す立断面図である。
【図2b】別の好ましい態様の集積回路製法の工程及び
装置を示す立断面図である。
【図3a】更に別の好ましい態様の集積回路製法の工程
及び装置を示す立断面図である。
【図3b】更に別の好ましい態様の集積回路製法の工程
及び装置を示す立断面図である。
【図3c】更に別の好ましい態様の集積回路製法の工程
及び装置を示す立断面図である。
【図3d】更に別の好ましい態様の集積回路製法の工程
及び装置を示す立断面図である。
【図3e】更に別の好ましい態様の集積回路製法の工程
及び装置を示す立断面図である。
【図3f】更に別の好ましい態様の集積回路製法の工程
及び装置を示す立断面図である。
【図4a】更に別の好ましい態様の集積回路製法の工程
及び装置を示す立断面図である。
【図4b】更に別の好ましい態様の集積回路製法の工程
及び装置を示す立断面図である。
【図4c】更に別の好ましい態様の集積回路製法の工程
及び装置を示す立断面図である。
【図5】開口気孔充填接着を示す図である。
【図6】堅い殻を示す図である。
【図7a】更に別の好ましい態様の集積回路製法の工程
及び装置を示す立断面図である。
【図7b】更に別の好ましい態様の集積回路製法の工程
及び装置を示す立断面図である。
【図8a】好ましい態様のレイアウト構造を示す断面図
及び平面図である。
【図8b】好ましい態様のレイアウト構造を示す断面図
及び平面図である。
【図8c】好ましい態様のレイアウト構造を示す断面図
及び平面図である。
【図8d】好ましい態様のレイアウト構造を示す断面図
及び平面図である。
【図8e】好ましい態様のレイアウト構造を示す断面図
及び平面図である。
【符号の説明】
102 珪素基体 104 トレンチ絶縁酸化物 110 ゲート 112 ゲートレベル相互接続部 114 ソース/ドレイン 120 平坦化誘電体層 130 第一レベル相互接続部 140 ライナー 142 キセロゲル 144 HSQ層 146 酸化物誘電体 190 エージング室 242 キセロゲル 244 接着層 246 誘電体層 260 相互接続部 270 ライナー 272 キセロゲル 274 接着層 276 表面被覆酸化物 330 プラグ 341 トレンチ 342 キセロゲル 343 自立キセロゲル帯 344 接着層 346 酸化物層 350 TiN等角障壁層 352 銅層 360 誘電体層 430 金属相互接続部 440 酸化物 441 トレンチ 442 キセロゲル 460 酸化物 470 相互接続部 510 キセロゲル 512 内部気孔 514 開口表面気孔 516 開口表面気孔 520 間隙充填層 810 相互接続部 811 相互接続部 812 相互接続部 820 キセロゲル 850 電気的非結合相互接続部 851 電気的非結合相互接続部 852 電気的非結合相互接続部
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジョセフ ディ.ラットマー アメリカ合衆国 テキサス州リチャードソ ン,バッターカップ 2412

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】 (a) 本体上に誘電体前駆物質の層を
    施し、 (b) 前記層の上に前駆物質反応触媒を流し込み、次
    いで (c) 前駆物質反応を完了して誘電体層を形成する、 諸工程からなる誘電体層製造方法。
JP10301396A 1997-10-23 1998-10-22 集積回路誘電体の製法 Pending JPH11214658A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US6322697P 1997-10-23 1997-10-23
US063226 1997-10-23

Publications (1)

Publication Number Publication Date
JPH11214658A true JPH11214658A (ja) 1999-08-06

Family

ID=22047808

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10301396A Pending JPH11214658A (ja) 1997-10-23 1998-10-22 集積回路誘電体の製法

Country Status (2)

Country Link
EP (1) EP0911875A3 (ja)
JP (1) JPH11214658A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003050038A1 (fr) * 2001-12-11 2003-06-19 National Institute Of Advanced Industrial Science And Technology Procede et dispositif de fabrication d'une pellicule d'oxyde en couche mince
US6875283B2 (en) 2002-05-20 2005-04-05 Tokyo Electron Limited Film forming apparatus and film forming method

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6306778B1 (en) * 1999-08-31 2001-10-23 Tokyo Electron Limited Substrate processing method
US20050173803A1 (en) * 2002-09-20 2005-08-11 Victor Lu Interlayer adhesion promoter for low k materials
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
JP6803842B2 (ja) 2015-04-13 2020-12-23 ハネウェル・インターナショナル・インコーポレーテッドHoneywell International Inc. オプトエレクトロニクス用途のためのポリシロキサン製剤及びコーティング

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753305A (en) * 1995-11-16 1998-05-19 Texas Instruments Incorporated Rapid aging technique for aerogel thin films
EP0775669B1 (en) * 1995-11-16 2001-05-02 Texas Instruments Incorporated Low volatility solvent-based precursors for nanoporous aerogels
EP0849796A3 (en) * 1996-12-17 1999-09-01 Texas Instruments Incorporated Improvements in or relating to integrated circuits

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003050038A1 (fr) * 2001-12-11 2003-06-19 National Institute Of Advanced Industrial Science And Technology Procede et dispositif de fabrication d'une pellicule d'oxyde en couche mince
US6875283B2 (en) 2002-05-20 2005-04-05 Tokyo Electron Limited Film forming apparatus and film forming method

Also Published As

Publication number Publication date
EP0911875A2 (en) 1999-04-28
EP0911875A3 (en) 2000-08-02

Similar Documents

Publication Publication Date Title
US6265303B1 (en) Integrated circuit dielectric and method
US6351039B1 (en) Integrated circuit dielectric and method
EP0881678A2 (en) Improvements in or relating to porous dielectric structures
JP4077606B2 (ja) スピンオンガラス組成物及びこれを利用した半導体装置のシリコン酸化膜の形成方法
US7557420B2 (en) Low temperature process for polysilazane oxidation/densification
JPH10178006A (ja) 集積回路誘電体
US7972976B1 (en) VLSI fabrication processes for introducing pores into dielectric materials
US6500770B1 (en) Method for forming a multi-layer protective coating over porous low-k material
US6214423B1 (en) Method of forming a polymer on a surface
US20020127807A1 (en) Semiconductor device, semiconductor wafer, and methods of producing the same device and wafer
TWI424493B (zh) 使用低介電常數介電質之積體電路系統及其製造方法
US20020081852A1 (en) Method to form etch and/or CMP stop layers
JPH07201997A (ja) 集積回路及びその製造方法
US6284675B1 (en) Method of forming integrated circuit dielectric by evaporating solvent to yield phase separation
US7053005B2 (en) Method of forming a silicon oxide layer in a semiconductor manufacturing process
US6800928B1 (en) Porous integrated circuit dielectric with decreased surface porosity
JPH11204645A (ja) 半導体素子の層間絶縁膜及びその製造方法
JPH11214658A (ja) 集積回路誘電体の製法
TW569340B (en) Electronic devices and methods of manufacture
JP4628743B2 (ja) スピンオンガラス組成物及びこれを用いたシリコン酸化膜形成方法
KR100685734B1 (ko) 다공성 스핀 온 글래스 조성물, 이의 제조 방법 및 이를이용한 다공성 실리콘 산화막 제조 방법
US6784121B1 (en) Integrated circuit dielectric and method
JP2004259753A (ja) 半導体装置およびその製造方法
JP2001164184A (ja) 被覆形成用塗布液及び被覆膜及びそれを用いた半導体装置
JPH118237A (ja) 低誘電率絶縁体膜の形成方法およびこれを用いた半導体装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050930

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080122

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080422

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080425

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080522

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080527

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080623

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080626

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090109