JP3196203B2 - 半導体素子の形成方法 - Google Patents

半導体素子の形成方法

Info

Publication number
JP3196203B2
JP3196203B2 JP17621899A JP17621899A JP3196203B2 JP 3196203 B2 JP3196203 B2 JP 3196203B2 JP 17621899 A JP17621899 A JP 17621899A JP 17621899 A JP17621899 A JP 17621899A JP 3196203 B2 JP3196203 B2 JP 3196203B2
Authority
JP
Japan
Prior art keywords
dielectric film
level dielectric
trench
forming
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP17621899A
Other languages
English (en)
Other versions
JP2000049137A (ja
Inventor
ジョイ・キミ・ワタナベ
マシュー・トーマス・ヘリック
テリー・グラント・スパークス
ニゲル・グレーム・ケイブ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Motorola Solutions Inc
Original Assignee
Motorola Solutions Inc
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Solutions Inc, Motorola Inc filed Critical Motorola Solutions Inc
Publication of JP2000049137A publication Critical patent/JP2000049137A/ja
Application granted granted Critical
Publication of JP3196203B2 publication Critical patent/JP3196203B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般的に、半導体
素子の形成プロセスに関し、更に特定すれば、半導体内
に相互接続構造を形成するプロセスおよびその形成方法
に関するものである。
【0002】
【従来の技術】半導体素子は、増々小さな寸法に縮小さ
れ続けている。種々のレベルの半導体素子を構成する相
互接続部のサイズが縮小し続けるに連れて、それらの間
の間隔も狭くなっていく。ライン幅の縮小および間隔の
縮小が組み合わされることによって、相互接続部の抵抗
値および容量に関して新たな問題が発生する。ライン幅
の寸法が小さい程、導電ラインの抵抗値(R)は大きく
なる。導電ライン間の間隔が狭くなると、その間の容量
は大きくなる。これに伴い、抵抗−容量(RC)結合に
よる伝搬遅延,クロストーク・ノイズ,および素子の回
路の電力消費に関する問題が生ずる。
【0003】銅相互接続技術および低誘電率(低−k)
材料は、抵抗値および容量増大に伴う問題を克服する努
力において、半導体素子製造業者が現在開発しつつある
2つの分野である。2つの導電性膜を分離する材料の誘
電率は、半導体素子の相互接続容量に直接的な影響を及
ぼす。これらの問題に対処するために、半導体素子の製
造に一般的に用いられている誘電体膜に取って代わるた
めに、より低い誘電率を有する新たな材料の研究が進め
られている。空気は、1に等しい誘電率、即ち、kの値
を有し、完全な絶縁物と見なされる。比較として、一般
的に用いられている二酸化シリコン(SiO2)の誘電
率は、約4.2である。この明細書の目的のために、半
導体絶縁物として用いる低−k材料は、誘電率が約3.
5未満のあらゆる材料とする。
【0004】具体的な相互接続方式の1つでは、デュア
ル・インレイド構造(dual inlaid structure)を形成す
る。第1相互接続レベルを形成した後、デュアル・イン
レイド開口を有するレベル間誘電体(ILD:interlev
el dielectric)層を形成する。従来技術における技法
の1つに、3種類の比較的誘電率が高い硬質マスク膜(h
ardmask film)を用い、低−k誘電体膜を挟持した積層
状とするものがある。デュアル・インレイド構造は、
「ビア最初、トレンチ最後」(via first, trenchlast)
または「トレンチ最初、ビア最後」(trench first, via
last)処理シーケンスを用いて、誘電体膜内にビアおよ
びトレンチを開口することによって形成する。これらの
工程に続いて、相互接続構造をトレンチおよびビア開口
内に形成する。
【0005】
【発明が解決しようとする課題】従来技術に伴う問題の
1つとして、プラズマ・エンハンス窒化シリコンまたは
シリコン酸窒化化合物を含む化学蒸着した窒化シリコン
材料用いて、低−k誘電体膜を分離する硬質マスク膜を
形成することがあげられる。これらの材料は、誘電率が
比較的高く(即ち、5より大きい)、ILD層の総誘電
率が高くなり、更に素子内のライン間容量も大きくな
る。加えて、多数の硬質マスク膜の使用のために、追加
の膜堆積やエッチング・プロセスを、半導体素子を製造
するためのプロセス・フローに組み込まなければならな
いので、製造プロセスが一層複雑化する。
【0006】
【発明の実施の形態】添付図面に、限定ではなく一例と
して、本発明を示す。図面では、同様の参照番号は、同
様のエレメントを示すものとする。
【0007】尚、図面のエレメントは、簡略化および明
確化を目的として図示されたのであり、必ずしも同一の
拡縮率で描かれている訳ではないことを、当業者は認め
よう。例えば、図面におけるエレメントの中には、他の
エレメントに対して誇張され、本発明の実施例(群)の
理解を高めるのに役立てようとするものがある。
【0008】本発明の実施例によれば、半導体素子基板
上に、トレンチ・レベル誘電体膜およびビア・レベル誘
電体膜を形成する。トレンチ・レベル誘電体膜に対して
ビア・レベル誘電体膜に対するよりも高いエッチング選
択性を有する第1エッチング化学薬品を用いて、トレン
チ・レベル誘電体膜をエッチングしビア開口を形成す
る。トレンチ・レベル誘電体膜上にあるフォトレジスト
層内に、トレンチ開口のパターニングを行う。第2エッ
チング化学薬品を用いて、ビア・レベル誘電体膜をエッ
チングし、ビア開口をビア・レベル誘電体膜まで延長す
る。トレンチ・レベル誘電体膜をエッチングし、トレン
チ開口を形成する。
【0009】図1は、部分的に処理し第1相互接続レベ
ルを規定した、半導体素子の図を示す。この半導体素子
は、半導体素子基板10,フィールド分離領域120,
トランジスタ118,導電性プラグ112,および誘電
体層110を備えている。トランジスタ118は、ドー
プ領域104,ゲート誘電体層106,およびゲート電
極108を備えている。この明細書において用いる場
合、半導体素子構造10は、単結晶半導体ウエハ、絶縁
物上半導体基板,または半導体素子を形成するために用
いられる他のあらゆる基板から成るものとする。
【0010】一実施例では、ゲート電極108は、ポリ
シリコンの層である。あるいは、ゲート電極108は、
タングステンまたはモリブデンのような金属層,窒化チ
タンまたは窒化タングステンのような金属窒化物層,あ
るいはその組み合わせとすることも可能である。加え
て、ゲート電極108は、タングステン・シリサイド,
チタン・シリサイド,コバルト・シリサイドのような、
金属シリサイドを、ポリシリコン層上に備えるポリサイ
ド層とすることも可能である。
【0011】ゲート電極108の形成に続いて、基板1
0上に第1レベル間誘電体(ILD)層110を形成
し、これにパターニングを行ってコンタクト開口を形成
する。一実施例では、第1ILD層110は、プラズマ
堆積酸化物の層であり、テトラエトキシラン(TEO
S)をソース・ガスとして用いて形成する。あるいは、
第1ILD層110は、窒化シリコンの層,フォスフォ
シリケート・ガラス(PSG)の層、ボロフォスフォシ
リケート・ガラス(BPSG)の層、酸窒化シリコン
層,ポリイミド層,低−k誘電体,またはその組み合わ
せとすることも可能である。
【0012】パターニングに続いて、誘電体層110内
にコンタクト開口を形成する。コンタクト開口は、チタ
ン/窒化チタン(Ti/TiN),タンタル/窒化タン
タル(Ta/TaN)等のような接着/バリア膜114
を用いて形成する導電性プラグ112,およびタングス
テンのような導電性充填材115から成る。堆積した
後、従来のエッチングまたは化学機械式研摩技法を用い
て、導電性充填材115の部分および下地の接着/バリ
ア膜114を除去し、導電性プラグ112を形成する。
あるいは、導電性プラグ112は、コンタクト充填材の
ようなドープ・シリコンをバリア膜114と共にまたは
バリア膜114を用いずに、形成することも可能であ
る。
【0013】導電性プラグ112を形成した後、ILD
層110および第1導電性プラグ112の部分上に、誘
電体膜116を形成する。誘電体膜116の部分内に、
第2接着/バリア膜118,第2導電性膜120を形成
し、導電性プラグ112の部分と電気的に接続する。一
実施例では、第2接着/バリア膜118はTa/TaN
を用いて形成し、導電性膜120は、銅,アルミニウム
等を用いて形成する。第2接着/バリア膜118および
第2導電性膜120の組み合わせにより、第1相互接続
レベル12を形成する。本プロセスのこの時点までは、
従来の方法を用いて、図1に示すような素子を形成し
た。
【0014】第1相互接続レベル12を形成した後、本
発明の一実施例によれば、図2に示すように、上側IL
D層20を形成する。ILD層20は、キャッピング層
22,下側誘電体膜24,上側誘電体膜26および硬質
マスク膜28から成る。一実施例では、キャッピング層
22は、約40ないし60ナノメートルの範囲の厚さに
堆積したプラズマ・エンハンス窒化物(PEN: plasma
enhanced nitride)の層を含む。あるいは、キャッピ
ング層22は、酸窒化シリコン,窒化硼素等で構成する
ことも可能である。キャッピング層22の上には、下側
(ビア・レベル)誘電体膜24がある。本発明の一実施
例によれば、下側誘電体膜24は、フッ素化テトラエト
キシラン(FTEOS)をソース・ガスとして用いて形
成する。あるいは、下側誘電体膜24は、TEOS,シ
ルセスキオクサン(silsesquioxane)材料,多孔質酸化物
材料等を用いて形成する酸化物のような、代わりの無機
材料を用いて形成することも可能である。一実施例で
は、下側誘電体膜24は、約500ないし700ナノメ
ートルの範囲の厚さに形成する。下側誘電体膜24の上
には、上側(トレンチ・レベル)誘電体膜26がある。
上側誘電体膜26は、スピン・オン・コーティング(spi
n-on coating)または化学蒸着(CVD)プロセスを用
いて形成することができる。上側誘電体膜26は、約3
00ないし500ナノメートルの範囲の厚さに形成す
る。上側誘電体膜26は、ポリイミド,ビシクロブテ
ン,フルオロカーボン,ポリアリルエーテル系材料,ス
ピン・オン・グラス,エーロゲルまたはキセロゲルのよ
うな多孔質酸化物材料,パリレン,ポリシロクサン材
料,シルセスキオクサン材料,炭素含有酸化シリコン等
のような有機低−k材料を用いて形成することができ
る。加えて、前述の材料の組み合わせを用いても、上側
誘電体膜26を形成することが可能である。
【0015】上側誘電体膜26の上には、硬質マスク膜
28がある。硬質マスク膜28は、約40ないし60ナ
ノメートルの範囲の厚さに形成する。一実施例では、硬
質マスク膜28は、プラズマ・エンハンス窒化物(PE
N)の層を含み、従来のプラズマ堆積技法を用いて形成
する。あるいは、硬質マスク膜28は、酸窒化シリコ
ン,窒化硼素等を用いても形成可能である。
【0016】図3は、ILD層20上のレジスト層32
にビア開口34を形成した後の図2の断面図を示す。ビ
ア開口は、ILD層20内にデュアル・インレイド相互
接続構造のビア部分を規定するために用いられる。
【0017】図4は、図3の基板の図を示す。この時点
では、ILD層20の上側部分に、開口42が形成され
ている。開口42は、上側硬質マスク膜28を貫通し、
上側誘電体膜26を貫通し、下側誘電体膜24上または
その一部まで達する。開口を規定するエッチング・プロ
セスの第1工程の間、図3に示すようにパターニングし
た基板を、従来のフッ素系プラズマ・エッチング・プロ
セスを用いてエッチングし、硬質マスク膜28の露出部
分を除去することができる。硬質膜28の露出部分を除
去した後、主に酸素を含有するプラズマ化学薬品に、エ
ッチング・プロセスを変更することができる。プラズマ
に晒される上側誘電体膜26の部分に、異方性エッチン
グを行い、図4に示すような開口42を形成する。この
エッチングは時限エッチング・プロセスまたは終点設定
エッチング・プロセス(endpointed etch process)を用
いて行うことができ、開口42の底面において下側誘電
体膜24の部分が露出されるまで継続する。上側誘電体
膜26をエッチングする際に用いるエッチング化学薬品
は酸素を含有するので、開口42を形成するのと同時
に、フォトレジスト層32も除去される。加えて、下側
誘電体膜24は無機材料を用いて形成するので、上側誘
電体膜26と下側誘電体膜24との間のエッチング選択
性は、このエッチング処理工程の間、最少量の下側誘電
体膜24のみを除去するようなものとする。
【0018】図5において、本発明の一実施例によれ
ば、硬質マスク膜28上に、フォトレジスト層52を形
成する。フォトレジスト層52の一部にパターニングを
行い、開口54を形成する。この開口54は、図6およ
び図7において更に詳しく説明する、デュアル・インレ
イド相互接続開口のトレンチ部分を規定する際に用いら
れる。
【0019】図6は、硬質マスク膜28の一部を除去し
た後の図5の基板の断面図を示す。硬質マスク膜28の
エッチングには、従来のフッ素系プラズマ・エッチング
・プロセスを用いる。このエッチングは、典型的に、時
限エッチングであり、硬質マスク膜28の露出部分の厚
さ全体を完全に除去することを目標とする。除去される
硬質マスク膜28の部分は、後に、デュアル・インレイ
ド相互接続部のトレンチ部分を規定するために用いられ
る。後に下側誘電体膜24にエッチングされるビア開口
は、上側誘電体膜26のエッチングされた部分(開口4
2)によって現在規定されているパターンに対応する。
【0020】図7は、エッチング処理工程を実行し、デ
ュアル・インレイド相互接続開口のビア部分を規定する
下側誘電体膜24およびキャッピング層22の部分を除
去した後の図6の基板の断面図を示す。別の実施例で
は、キャッピング層22を相互接続部12上に残してお
き、後の処理工程において除去する場合もある。このエ
ッチングを行うには、上側誘電体膜26に対して良好な
選択性を呈する処理用化学薬品を用いる。これによっ
て、エッチング処理工程の間、ビアの垂直側壁の完全性
(integrity)を保証する。
【0021】一実施例では、このエッチングには、用い
るエッチング反応器の種類に応じて、約1ないし10mi
llitorrの範囲の圧力で、約800ないし1200ワッ
トの範囲の印加無線周波数(RF)電力を用いて、フッ
素系反応性イオン・エッチング(RIE)プロセス化学
薬品を用いる。他のエッチング処理パラメータは従来通
りである。フッ素対炭素比は、下側誘電体膜24と上側
誘電体膜26との間に約6:1以上のエッチング選択性
が得られるように選択する。これによって、下側誘電体
膜24内に、ビア・パターン42を再現することが可能
となる。
【0022】図8では、硬質マスク膜28およびフォト
レジスト層52によって規定されている上側誘電体膜2
6の部分が除去され、デュアル・インレイド相互接続開
口80のトレンチ部分が形成されている。図7に示した
デュアル・インレイド相互接続開口のビア・エッチング
部分の完了後、処理用化学薬品を酸素含有プラズマに変
更する。一実施例では、用いるエッチング反応器の種類
に応じて、約1ないし10millitorrの範囲の圧力、お
よび約100ないし300ワットの範囲の印加(RF)
電力でこのエッチング・プロセスを実行する。他のエッ
チング処理パラメータは従来通りである。フッ素含有ガ
スおよび炭素含有ガスを追加して、輪郭制御および膜選
択性を向上させることも可能である。上側誘電体膜26
内にトレンチ開口を再現するには、上側誘電体膜26と
硬質マスク膜28との間に約50:1以上のエッチング
選択性を有するエッチング処理用化学薬品が適当であ
る。
【0023】エッチング処理工程の間、酸素の存在によ
り、上側誘電体膜26をエッチングしている間にフォト
レジスト層52が除去される。下側誘電体膜24に対す
るエッチング選択性は、下側誘電体膜24は実質的にエ
ッチングされず、ビアの側壁輪郭が比較的変化しないよ
うなものとする。したがって、この処理工程の間、ビア
の側壁の輪郭は維持される。この時点において、本発明
の実施例によれば、ほぼ完成されたデュアル・インレイ
ド開口80が形成されている。
【0024】図9において、デュアル・インレイド開口
80内およびILD層20上に、接着/バリア層92を
形成する。一実施例では、接着/バリア層92は、窒化
タンタルの層である。あるいは、接着/バリア層92
は、窒化チタンの層,窒化タングステンの層,窒化タン
タル・シリコンの層,タンタルの層,チタン・タングス
テン層等とすることも可能である。接着/バリア層92
は、従来のスパッタリングまたは化学蒸着(CVD)技
法を用いて堆積することができる。従来の堆積技法を用
いて、接着/バリア層92上に、導電性シード層91を
形成する。次に、導電性シード層94の上に、導電性膜
96を形成する。導電性膜96は、デュアル・インレイ
ド開口80を完全に満たすのに十分な厚さを有する。一
実施例では、導電性膜96は、従来の電気めっきプロセ
スを用いて堆積した銅の層である。あるいは、導電性膜
96は、無電解めっき,化学蒸着(CVD),または物
理蒸着(PVD)を含む他の技法を用い、更にアルミニ
ウム,銀,タングステン等を含む他の材料を用いても形
成可能である。
【0025】続いて、導電性膜96,導電性シード層9
1,および接着/バリア層92の部分を除去し、デュア
ル・インレイド開口内に導電性相互接続部90を形成す
る。この場合、導電性相互接続部90は、導電性膜9
6,導電性シード層91,および接着/バリア層92の
残りの部分から成る。導電性相互接続部90は、化学機
械式研摩プロセスを用いても形成可能である。あるい
は、導電性相互接続部90は、イオン・ビーム・ミリン
グ,反応性イオン・ビーム・エッチング,プラズマ・エ
ッチングのような従来のエッチング技法,またはエッチ
ング技法および研磨技法の組み合わせを用いても形成可
能である。
【0026】次に、導電性相互接続部96の上に、キャ
ッピング層98を形成する。一実施例では、キャッピン
グ層98は、プラズマ堆積窒化シリコンの層である。あ
るいは、キャッピング層98は、プラズマ堆積酸窒化シ
リコンの層,窒化硼素の層等とすることも可能である。
キャッピング層98は、導電性相互接続部90内の金属
原子が、後に導電性相互接続部90上に堆積される誘電
体層内に拡散する可能性を低下させるために用いられ
る。次に、キャッピング層98上にパシベーション層9
9を形成する。この時点において、ほぼ完成した素子9
01が形成されている。他の電気接続も行われるが、図
9には示さない。また、必要に応じて、更に複雑な素子
を形成する場合、他のILD層や相互接続レベルも用い
ることができる。
【0027】本発明の実施例は、必要に応じて、これま
でに論じた概念を適正に適用し、本発明の変形に対処す
るために変更することができる。例えば、図4に示した
ように硬質マスク膜28および上側誘電体膜26内にビ
ア・パターンを規定した後、図5に示すようなトレンチ
開口を規定するためのフォトレジストのパターニングの
間に問題に遭遇した場合、基板の再処理を容易にするた
めに別の処理方式を組み込むことも可能である。
【0028】別の実施例では、開口42を形成した後、
図10に示すように、硬質マスク層上および開口42内
に、薄いシリコン含有無機層101を形成する。この薄
い無機層101は、図5に示したフォトレジスト層52
を除去する際に溶剤,酸,または酸素含有プラズマ化学
薬品を用いる必要があり、上側誘電体膜26の露出部分
も除去してしまう虞れがある場合に、上側誘電体膜26
を保護する役割を果たす。一実施例では、シリコン含有
無機層101は、プラズマ堆積窒化シリコンの層であ
る。あるいは、層101は、プラズマ堆積酸窒化シリコ
ンの層,窒化硼素の層,二酸化シリコンの層等とするこ
とも可能である。層101は、約40ないし60ナノメ
ートルの範囲で十分薄く形成し、開口の厳格な寸法に重
大な影響を与えないようにする。デュアル・インレイド
開口90を形成するための後続の処理工程は、図5ない
し図8において既に説明した工程と本質的に同一であ
る。
【0029】本発明の実施例は多くの利点を含む。第1
に、本発明の実施例は、下側誘電体膜24と上側誘電体
膜26との間に高誘電率のエッチ・ストップ膜を用いる
必要性を減少させる。エッチ・ストップ膜が不要となる
ので、PENまたは酸窒化シリコンのエッチ・ストップ
層のような、中間エッチ・ストップを用いるILD層に
比較して、ILD層20全体の誘電率も対応して小さく
なる。加えて、このプロセスは、現在行われている従来
からの製造方法から大きく逸脱することなく、プロセス
・フロー内に容易に統合することが可能である。更に、
このプロセスは、周縁処理工程(marginal processing s
tep)を開発する必要なく、また現在では利用できない異
物(exotic material)を形成することもなく、使用可能
である。
【0030】前述の明細書では、具体的な実施例を参照
しながら本発明の説明を行った。しかしながら、特許請
求の範囲に明記する本発明の技術から逸脱することな
く、種々の修正や変更が可能であることを当業者は認め
よう。したがって、本明細書および図面は、限定的な意
味ではなく、例示的な意味で解釈すべきであり、かかる
修正は全て、本発明の範囲に含まれることを意図するも
のである。また、請求項において、ミーンズ・プラス・
ファンクション(means-plus-function)項目がある場合
は、いずれも、ここに明記した機能を行う構造を含むも
のとする。また、ミーンズ・プラス・ファンクション項
目は、明記した機能を行う構造的同等物および同等の構
造も含むものとする。
【図面の簡単な説明】
【図1】第1相互接続層を形成した後の半導体素子基板
の一部の断面図。
【図2】本発明の一実施例にしたがって第1相互接続層
上にレベル間誘電体(ILD)層を形成した後の図1の
基板の断面図。
【図3】ILD層上のレジスト層にビア開口を形成した
後の図2の断面図。
【図4】硬質マスク膜および上側誘電体膜を貫通するビ
ア開口を規定した、図3の基板の断面図。
【図5】ILD層上のレジスト層にトレンチ開口を形成
した後の図4の基板の断面図。
【図6】硬質マスク膜の一部を除去した後の図5の基板
の断面図。
【図7】本発明の一実施例にしたがって低誘電率膜にビ
ア開口を形成した後の図6の基板の断面図。
【図8】本発明の一実施例にしたがってデュアル・イン
レイド開口を形成した後の図7の基板の断面図。
【図9】ほぼ完成した素子を形成した後の図8の基板の
断面図。
【図10】本発明の一実施例にしたがって基板の上に無
機保護層を形成した後の図5の基板の断面図。
【符号の説明】
10 半導体素子基板 12 第1相互接続レベル 20 上側ILD層 22 キャッピング層 24 下側誘電体膜 26 上側誘電体膜 28 硬質マスク膜 32 フォトレジスト層 42 開口 52 フォトレジスト層 54 開口 80 デュアル・インレイド相互接続開口 90 導電性相互接続部 91 導電性シード層 92 接着/バリア層 94 導電性シード層 96 導電性膜 98 キャッピング層 99 パシベーション層 101 シリコン含有無機層 104 ドープ領域 106 ゲート誘電体層 108 ゲート電極 110 誘電体層 112 導電性プラグ 114 接着/バリア膜 115 導電性充填材 116 誘電体膜 118 トランジスタ 118 第2接着/バリア膜 120 第2導電性膜 120 フィールド分離領域
───────────────────────────────────────────────────── フロントページの続き (72)発明者 テリー・グラント・スパークス アメリカ合衆国テキサス州オースチン、 メンドチノ・8808 (72)発明者 ニゲル・グレーム・ケイブ アメリカ合衆国テキサス州オースチン、 マッグデレナ・ドライブ5315 (56)参考文献 特開 平9−232280(JP,A) 特開 平9−106986(JP,A) 特開 平9−64176(JP,A) 特開 昭61−23322(JP,A) 特開 平2−90616(JP,A) 特開 平9−8131(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/306 - 21/308

Claims (5)

    (57)【特許請求の範囲】
  1. 【請求項1】半導体素子の形成方法であって: 半導体素子基板(10)上に、ビア・レベル誘電体膜
    (24)を形成する段階; 前記ビア・レベル誘電体膜(24)上にトレンチ・レベ
    ル誘電体膜(26)を形成する段階; 第1エッチング化学薬品を用いて、前記トレンチ・レベ
    ル誘電体膜(26)内にビア開口(42)をエッチング
    する段階であって、前記第1エッチング化学薬品が、前
    記トレンチ・レベル誘電体膜(26)に対して、前記ビ
    ア・レベル誘電体膜(24)に対するよりも高いエッチ
    ング選択性を有する、段階; 前記トレンチ・レベル誘電体膜(26)上にフォトレジ
    スト層(52)を形成する段階であって、前記トレンチ
    ・レベル誘電体膜(26)内の前記ビア開口(42)を
    露出させるフォトレジスト開口(54)を有する前記フ
    ォトレジスト層(52)を形成する段階; 第2エッチング化学薬品を用いて、前記ビア・レベル誘
    電体膜(24)をエッチングし、前記ビア開口(42)
    を前記ビア・レベル誘電体膜(24)まで延長する段
    階;および前記フォトレジスト開口(54)を通じて、
    前記トレンチ・レベル誘電体膜(26)の部分をエッチ
    ングし、相互接続開口(80)を形成する段階; から成ることを特徴とする方法。
  2. 【請求項2】半導体素子の形成方法であって、 半導体素子基板(10)上に、ビア・レベル誘電体膜
    (24)を形成する段階; 前記ビア・レベル誘電体膜(24)上にトレンチ・レベ
    ル誘電体膜(26)を形成する段階; 第1エッチング化学薬品を用いて、前記トレンチ・レベ
    ル誘電体膜(26)内にビア開口(42)をエッチング
    する段階であって、前記第1エッチング化学薬品が、前
    記トレンチ・レベル誘電体膜(26)に対して、前記ビ
    ア・レベル誘電体膜(24)に対するよりも高いエッチ
    ング選択性を有する、段階; 前記ビア開口(42)内、および前記トレンチ・レベル
    誘電体膜(26)上に、無機保護層(101)を堆積す
    る段階;前記無機保護層(101)上に、フォトレジス
    ト層(52)を形成する段階であって、前記トレンチ・
    レベル誘電体膜(26)内の前記ビア開口(42)を露
    出するフォトレジスト開口(54)を有する前記フォト
    レジスト層(52)を形成する段階; 第2エッチング化学薬品を用いて、前記無機保護層(1
    01)および前記ビア・レベル誘電体膜(24)の部分
    をエッチングし、前記ビア開口(42)を前記ビア・レ
    ベル誘電体膜(24)まで延長する段階;および前記フ
    ォトレジスト開口(54)を通じて、前記トレンチ・レ
    ベル誘電体膜(26)の部分をエッチングし、トレンチ
    開口を形成する段階; から成ることを特徴とする方法。
  3. 【請求項3】半導体素子の形成方法であって、 半導体素子基板(10)上に、無機ビア・レベル誘電体
    膜(24)を形成する段階; 前記無機ビア・レベル誘電体膜(24)上に、有機トレ
    ンチ・レベル誘電体膜(26)を形成する段階であっ
    て、約3.5以下の誘電率を有する低誘電率材料を含む
    前記有機トレンチ・レベル誘電体膜(26)を形成する
    段階; 第1エッチング化学薬品を用いて、前記有機トレンチ・
    レベル誘電体膜(26)内にビア開口(42)をエッチ
    ングする段階であって、前記第1エッチング化学薬品
    が、前記有機トレンチ・レベル誘電体膜(26)に対し
    て、前記無機ビア・レベル誘電体膜(24)に対するよ
    りも高いエッチング選択性を有する、段階; 前記有機トレンチ・レベル誘電体膜(26)上にフォト
    レジスト層(52)を形成する段階であって、前記トレ
    ンチ・レベル誘電体膜(26)内の前記ビア開口(4
    2)を露出するフォトレジスト開口(54)を有する前
    記フォトレジスト層(52)を形成する段階; 第2エッチング化学薬品を用いて、前記無機ビア・レベ
    ル誘電体膜(24)をエッチングし、前記ビア開口(4
    2)を前記無機ビア・レベル誘電体膜(24)まで延長
    する段階;および前記フォトレジスト開口(54)を通
    じて、前記有機トレンチ・レベル誘電体膜(26)の部
    分をエッチングし、トレンチ開口を形成する段階; から成ることを特徴とする方法。
  4. 【請求項4】半導体素子の形成方法であって、 半導体素子基板(10)上にビア・レベル誘電体膜(2
    4)を形成する段階であって、TEOSガスをフッ素含
    有ガスと反応させることによって形成される、フッ素化
    二酸化シリコンを含む前記ビア・レベル誘電体膜(2
    4)を形成する段階; 前記ビア・レベル誘電体膜(24)上に、トレンチ・レ
    ベル誘電体膜(26)を形成する段階であって、有機低
    誘電率材料を含む前記トレンチ・レベル誘電体膜を形成
    する段階; 第1エッチング化学薬品を用いて、前記トレンチ・レベ
    ル誘電体膜(26)内にビア開口(42)をエッチング
    する段階であって、前記第1エッチング化学薬品が、前
    記トレンチ・レベル誘電体膜(26)に対して、前記ビ
    ア・レベル誘電体膜(24)に対するよりも高いエッチ
    ング選択性を有する、段階; 前記トレンチ・レベル誘電体膜(26)上にフォトレジ
    スト層(52)を形成する段階であって、前記トレンチ
    ・レベル誘電体膜(26)内のビア開口(42)を露出
    させるフォトレジスト開口(54)を有する前記フォト
    レジスト層(52)を形成する段階; 第2エッチング化学薬品を用いて、前記ビア・レベル誘
    電体膜(24)をエッチングし、前記ビア開口(42)
    を前記ビア・レベル誘電体膜(24)まで延長する段
    階; 前記フォトレジスト開口(54)を通じて、前記トレン
    チ・レベル誘電体膜(26)の部分をエッチングし、ト
    レンチ開口を形成する段階; から成ることを特徴とする方法。
  5. 【請求項5】半導体素子の形成方法であって、 半導体素子基板(10)上にビア・レベル誘電体膜(2
    4)を形成する段階であって、シリコンの酸化物から成
    る前記ビア・レベル誘電体膜(24)を形成する段階; 前記ビア・レベル誘電体膜(24)上にトレンチ・レベ
    ル誘電体膜(26)を形成する段階であって、3.5以
    下の誘電率を有する低誘電率材料を含む前記トレンチ・
    レベル誘電体膜(26)を形成する段階; 酸素含有エッチング化学薬品を用いて、前記トレンチ・
    レベル誘電体膜(26)内にビア開口(42)をエッチ
    ングする段階であって、前記酸素含有エッチング化学薬
    品が、前記トレンチ・レベル誘電体膜(26)に対し
    て、前記ビア・レベル誘電体膜(24)に対するよりも
    高い選択性を有する、段階; 前記トレンチ・レベル誘電体膜(26)上に、フォトレ
    ジスト層(32)を与える段階であって、前記トレンチ
    ・レベル誘電体膜(26)内の前記ビア開口(42)上
    にフォトレジスト開口(34)を有する前記フォトレジ
    スト層(32)を与える段階; フッ素含有エッチング化学薬品を用いて、前記ビア・レ
    ベル誘電体膜(24)をエッチングし、前記ビア開口
    (42)を前記ビア・レベル誘電体膜(24)まで延長
    する段階; 前記フォトレジスト開口(34)を通じて、前記トレン
    チ・レベル誘電体膜(26)にエッチングを行い、トレ
    ンチ開口を形成する段階; 導電性材料(96)で前記トレンチ開口および前記ビア
    開口を充填する段階;および導電性相互接続を形成する
    段階; から成ることを特徴とする方法。
JP17621899A 1998-06-25 1999-06-23 半導体素子の形成方法 Expired - Fee Related JP3196203B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US104849 1998-06-25
US09/104,849 US6127258A (en) 1998-06-25 1998-06-25 Method for forming a semiconductor device

Publications (2)

Publication Number Publication Date
JP2000049137A JP2000049137A (ja) 2000-02-18
JP3196203B2 true JP3196203B2 (ja) 2001-08-06

Family

ID=22302719

Family Applications (1)

Application Number Title Priority Date Filing Date
JP17621899A Expired - Fee Related JP3196203B2 (ja) 1998-06-25 1999-06-23 半導体素子の形成方法

Country Status (5)

Country Link
US (2) US6127258A (ja)
JP (1) JP3196203B2 (ja)
CN (1) CN1145209C (ja)
SG (1) SG93833A1 (ja)
TW (1) TW461036B (ja)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000111952A (ja) * 1998-10-07 2000-04-21 Sony Corp 電気光学装置、電気光学装置用の駆動基板、及びこれらの製造方法
JP2000133710A (ja) * 1998-10-26 2000-05-12 Tokyo Electron Ltd 半導体装置及びその製造方法
JP3888794B2 (ja) * 1999-01-27 2007-03-07 松下電器産業株式会社 多孔質膜の形成方法、配線構造体及びその形成方法
US6437424B1 (en) * 1999-03-09 2002-08-20 Sanyo Electric Co., Ltd. Non-volatile semiconductor memory device with barrier and insulating films
JP2000349152A (ja) * 1999-03-29 2000-12-15 Sony Corp 半導体装置の製造方法
JP2001044191A (ja) * 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
US6225226B1 (en) * 1999-12-13 2001-05-01 Taiwan Semiconductor Manufacturing Company Method for processing and integrating copper interconnects
JP3604007B2 (ja) * 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
US20040065957A1 (en) * 2000-04-28 2004-04-08 Kaoru Maekawa Semiconductor device having a low dielectric film and fabrication process thereof
WO2002003457A2 (en) * 2000-06-30 2002-01-10 Infineon Technologies Ag Via first dual damascene process for copper metallization
US6576550B1 (en) 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization
US6441490B1 (en) * 2000-12-18 2002-08-27 Advanced Micro Devices, Inc. Low dielectric constant stop layer for integrated circuit interconnects
US6696358B2 (en) * 2001-01-23 2004-02-24 Honeywell International Inc. Viscous protective overlayers for planarization of integrated circuits
US6465340B1 (en) * 2001-02-06 2002-10-15 Advanced Micro Devices, Inc. Via filled dual damascene structure with middle stop layer and method for making the same
US6663787B1 (en) * 2001-02-06 2003-12-16 Advanced Micro Devices, Inc. Use of ta/tan for preventing copper contamination of low-k dielectric layers
US6365505B1 (en) * 2001-02-21 2002-04-02 Advanced Micro Devices, Inc. Method of making a slot via filled dual damascene structure with middle stop layer
US6391766B1 (en) * 2001-02-21 2002-05-21 Advanced Micro Devices, Inc. Method of making a slot via filled dual damascene structure with middle stop layer
US6566242B1 (en) * 2001-03-23 2003-05-20 International Business Machines Corporation Dual damascene copper interconnect to a damascene tungsten wiring level
JP2002289617A (ja) * 2001-03-28 2002-10-04 Mitsubishi Heavy Ind Ltd 集積回路構造
US20040124438A1 (en) * 2001-05-01 2004-07-01 Shyama Mukherjee Planarizers for spin etch planarization of electronic components and methods of use thereof
JP5023413B2 (ja) * 2001-05-11 2012-09-12 ソニー株式会社 半導体装置およびその製造方法
JP2002343859A (ja) 2001-05-15 2002-11-29 Mitsubishi Electric Corp 配線間の接続構造及びその製造方法
US6541860B1 (en) * 2001-06-05 2003-04-01 Advanced Micro Devices, Inc. Barrier-to-seed layer alloying in integrated circuit interconnects
US6992391B2 (en) * 2001-09-28 2006-01-31 Intel Corporation Dual-damascene interconnects without an etch stop layer by alternating ILDs
US6605874B2 (en) * 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
JP2003218084A (ja) * 2002-01-24 2003-07-31 Nec Electronics Corp 除去液、半導体基板の洗浄方法および半導体装置の製造方法
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
CN1723549B (zh) * 2002-10-11 2012-01-18 兰姆研究有限公司 增强等离子体蚀刻性能的方法
US7119006B2 (en) * 2002-11-26 2006-10-10 Texas Instruments Incorporated Via formation for damascene metal conductors in an integrated circuit
US7129162B2 (en) * 2002-12-30 2006-10-31 Texas Instruments Incorporated Dual cap layer in damascene interconnection processes
US6958540B2 (en) 2003-06-23 2005-10-25 International Business Machines Corporation Dual damascene interconnect structures having different materials for line and via conductors
TWI285938B (en) * 2003-08-28 2007-08-21 Fujitsu Ltd Semiconductor device
US7259463B2 (en) * 2004-12-03 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene interconnect structure with cap layer
US7960838B2 (en) * 2005-11-18 2011-06-14 United Microelectronics Corp. Interconnect structure
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
DE102006025405B4 (de) * 2006-05-31 2018-03-29 Globalfoundries Inc. Verfahren zur Herstellung einer Metallisierungsschicht eines Halbleiterbauelements mit unterschiedlich dicken Metallleitungen
DE102007004867B4 (de) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US7902004B2 (en) * 2008-10-14 2011-03-08 Dpix Llc ESD induced artifact reduction design for a thin film transistor image sensor array
CN102903628B (zh) * 2011-07-25 2015-04-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
US9209071B2 (en) * 2014-03-28 2015-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with anti-etch structure in via and method for manufacturing the same

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5269880A (en) * 1992-04-03 1993-12-14 Northern Telecom Limited Tapering sidewalls of via holes
JP2934353B2 (ja) * 1992-06-24 1999-08-16 三菱電機株式会社 半導体装置およびその製造方法
US5635423A (en) * 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5783485A (en) * 1996-07-19 1998-07-21 Motorola, Inc. Process for fabricating a metallized interconnect
US5939334A (en) * 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
US6030904A (en) * 1997-08-21 2000-02-29 International Business Machines Corporation Stabilization of low-k carbon-based dielectrics
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
US5882996A (en) * 1997-10-14 1999-03-16 Industrial Technology Research Institute Method of self-aligned dual damascene patterning using developer soluble arc interstitial layer
US5877076A (en) * 1997-10-14 1999-03-02 Industrial Technology Research Institute Opposed two-layered photoresist process for dual damascene patterning
US5877075A (en) * 1997-10-14 1999-03-02 Industrial Technology Research Institute Dual damascene process using single photoresist process
US6001730A (en) * 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
TW410455B (en) * 1998-02-16 2000-11-01 United Microelectronics Corp Forming method for dual damascene structure
US5939788A (en) * 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
US6016000A (en) * 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics

Also Published As

Publication number Publication date
SG93833A1 (en) 2003-01-21
US6127258A (en) 2000-10-03
CN1145209C (zh) 2004-04-07
CN1241812A (zh) 2000-01-19
TW461036B (en) 2001-10-21
JP2000049137A (ja) 2000-02-18
US6372665B1 (en) 2002-04-16

Similar Documents

Publication Publication Date Title
JP3196203B2 (ja) 半導体素子の形成方法
US8138082B2 (en) Method for forming metal interconnects in a dielectric material
JP5730471B2 (ja) パターン形成キャップを用いるエアギャップ形成と一体化
US7365009B2 (en) Structure of metal interconnect and fabrication method thereof
US5880018A (en) Method for manufacturing a low dielectric constant inter-level integrated circuit structure
KR100413908B1 (ko) 반도체 물질 상의 유전체층 보호 방법, 상호 접속 구조체 형성 방법 및 이중 대머신 상호 접속 구조체 형성 방법
US8298935B2 (en) Dual damascene process
US7955968B2 (en) Pseudo hybrid structure for low K interconnect integration
US10361115B2 (en) Reducing contact resistance in vias for copper interconnects
US9613880B2 (en) Semiconductor structure and fabrication method thereof
US7790601B1 (en) Forming interconnects with air gaps
US8415799B2 (en) Dual damascene interconnect in hybrid dielectric
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
US6232237B1 (en) Method for fabricating semiconductor device
US6495448B1 (en) Dual damascene process
US6191031B1 (en) Process for producing multi-layer wiring structure
US6030896A (en) Self-aligned copper interconnect architecture with enhanced copper diffusion barrier
US7282441B2 (en) De-fluorination after via etch to preserve passivation
US10177091B2 (en) Interconnect structure and method of forming
US6638849B2 (en) Method for manufacturing semiconductor devices having copper interconnect and low-K dielectric layer
US20120199980A1 (en) Integrated circuits having interconnect structures and methods for fabricating integrated circuits having interconnect structures
US6403471B1 (en) Method of forming a dual damascene structure including smoothing the top part of a via
US20230178379A1 (en) Film deposition for patterning process
US6818555B2 (en) Method for metal etchback with self aligned etching mask
KR19980029383A (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080608

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090608

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090608

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100608

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110608

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120608

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120608

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130608

Year of fee payment: 12

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees