JP2934353B2 - 半導体装置およびその製造方法 - Google Patents

半導体装置およびその製造方法

Info

Publication number
JP2934353B2
JP2934353B2 JP4166179A JP16617992A JP2934353B2 JP 2934353 B2 JP2934353 B2 JP 2934353B2 JP 4166179 A JP4166179 A JP 4166179A JP 16617992 A JP16617992 A JP 16617992A JP 2934353 B2 JP2934353 B2 JP 2934353B2
Authority
JP
Japan
Prior art keywords
opening
etching
film
wiring layer
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP4166179A
Other languages
English (en)
Other versions
JPH0613470A (ja
Inventor
正純 松浦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP4166179A priority Critical patent/JP2934353B2/ja
Priority to DE4319070A priority patent/DE4319070C2/de
Publication of JPH0613470A publication Critical patent/JPH0613470A/ja
Priority to US08/575,842 priority patent/US5598027A/en
Priority to US08/717,360 priority patent/US5926732A/en
Application granted granted Critical
Publication of JP2934353B2 publication Critical patent/JP2934353B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】この発明は、半導体装置およびそ
の製造方法に関し、特に、多層配線構造を有する半導体
装置およびその製造方法に関する。
【0002】
【従来の技術】従来、多層配線構造を有する半導体装置
が知られている。これらは、たとえば、“Proceedings
of 11th International IEEE VLSI Multilevel Interco
nnectConference p.146”などに開示されている。図1
8は、その開示された従来の多層配線構造を有する半導
体装置を示した断面図である。図18を参照して、従来
の多層配線構造を有する半導体装置は、シリコン半導体
基板101と、シリコン半導体基板101上に形成さ
れ、溝aを有する第1の層間絶縁膜102と、溝a内に
形成された第1の配線層103と、第1の層間絶縁膜1
02および第1の配線層103上に形成され、所定の位
置に溝bおよびcを有する第2の層間絶縁膜104と、
溝bおよびc内に第1の配線層103と電気的に接続す
るように形成された第2の配線層105とを備えてい
る。
【0003】図19〜図26は、図18に示した従来の
多層配線構造を有する半導体装置の製造プロセス(第1
工程〜第8工程)を説明するための断面構造図である。
図18および図19〜図26を参照して、次に従来の多
層配線構造を有する半導体装置の製造プロセスについて
説明する。
【0004】まず、図19に示すように、シリコン半導
体基板101上に第1の層間絶縁膜102を形成する。
なお、シリコン半導体基板101の代わりに、トランジ
スタなどの回路を構成する素子またはその素子を覆う絶
縁層を形成し、それらの上に第1の層間絶縁膜102を
形成するようにしてもよい。
【0005】次に、図20に示すように、写真製版技術
およびエッチング技術を用いて、第1の層間絶縁膜10
2に第1の配線層103(図18参照)用の溝aを形成
する。
【0006】次に、図21に示すように、全面に第1の
配線層103を形成した後、第1の層間絶縁膜102の
表面が露出するまで第1の配線層103をエッチングす
る。これにより、図22に示すような形状を有する第1
の配線層103が形成される。
【0007】次に、図23に示すように、全面に第2の
層間絶縁膜104を形成する。
【0008】次に、図24に示すように、写真製版技術
とエッチング技術とを用いてビアホールコンタクト用の
溝bを形成する。
【0009】次に、図25に示すように、第2の配線層
105(図18参照)用の溝cを写真製版技術とエッチ
ング技術を用いて形成する。
【0010】次に、図26に示すように、全面に第2の
配線層105を形成した後、第2の層間絶縁膜104の
上表面が露出するまでエッチングする。これにより、図
18に示した形状を有する第2の配線層105が形成さ
れる。
【0011】
【発明が解決しようとする課題】上記した従来の多層配
線構造を有する半導体装置には、以下のような問題点が
あった。すなわち、図24および図25に示した製造プ
ロセスにおいて、ビアホールコンタクト用の溝bおよび
第2の配線層105用の溝cを形成する際、パターンの
位置合わせずれが発生すると、第1の層間絶縁膜102
の上面がエッチングによって削られるという不都合があ
った。図27は、この従来の多層配線構造を有する半導
体装置の問題点を説明するための断面構造図である。図
27を参照して、上記したようにビアホールコンタクト
用の溝bおよび第2配線層105用の溝cを形成する際
にパターンずれが生じると第1の層間絶縁膜102にリ
セス部(凹部)dが形成されてしまうという問題点があ
った。すなわち、溝bおよびcの形成時のエッチングは
通常第1の配線層103によって止まるが、パターンず
れが生じると溝bおよびcの形成時のエッチングが第1
の層間絶縁膜102にも及ぶ。このため、リセス部dが
形成されることになる。このようにリセス部dが形成さ
れさらに第1の層間絶縁膜102の下層にまで達する
と、たとえば下層にトランジスタなどの回路構成素子が
形成されている場合には第2の配線層105と下層の回
路構成素子との間で短絡が生じたり、下層の回路構成素
子に損傷を与えるという問題点があった。
【0012】この発明は、上記のような課題を解決する
ためになされたもので、請求項1および2に記載の発明
の目的は、ビアホールコンタクト用の溝および第2の配
線層用の溝を形成する際にパターンずれが生じたとして
も第1の絶縁層にリセス部が形成されるのを有効に防止
することが可能な半導体装置およびその製造方法を提供
することである。
【0013】
【課題を解決するための手段】請求項1における半導体
装置は、多層配線構造を有する半導体装置であって、半
導体基板上に形成された第1の絶縁層と、この第1の絶
縁層上に形成された、PPSQ膜からなるエッチング防
止膜と、このエッチング防止膜を貫通して、第1の絶縁
膜に設けられた第1の開口と、この第1の開口内に形成
された第1の配線層と、エッチング防止膜と第1の配線
層との上に形成されるとともに、内部にエッチング防止
膜を介在することなく一体的に堆積形成された、第2の
絶縁層と、第1の開口と重なる領域において、第2の絶
縁層の内部から第1の配線層の上面に至るように第2の
絶縁層に形成された、ヴィアホールコンタクト用の第2
の開口と、第2の絶縁層の上面から第2の開口と連続す
るように形成された、第2の開口よりも大きな開口面積
および幅を有する第3の開口と、第2の開口内および第
3の開口内に、第1の配線層と電気的に接続するように
形成された第2の配線層とを備えている。
【0014】請求項2における半導体装置の製造方法
は、多層配線構造を有する半導体装置の製造方法であっ
て、半導体基板上に第1の絶縁層を形成する工程と、こ
の第1の絶縁層上に、PPSQ膜からなるエッチング防
止膜を形成する工程と、このエッチング防止膜および第
1の絶縁層の所定領域にエッチングを施すことにより、
エッチング防止膜を貫通して第1の絶縁層に第1の開口
を形成する工程と、この第1の開口内に第1の配線層を
形成する工程と、エッチング防止膜上および第1の配線
層上に、エッチング防止膜を介在させることなく一体的
に堆積させて第2の絶縁層を形成する工程と、この第2
の絶縁層の上面から所定の深さにかけて、第1の配線層
に接続するヴィアホールコンタクト用の第2の開口のパ
ターンの溝を、エッチングによって形成する工程と、第
2の開口よりも大きな開口面積を有しかつ第2の開口の
パターンの領域を包含する領域の第2の絶縁膜に、第2
の開口のパターンの溝の底部が第1の配線層の上面に達
するまでエッチングを施すことにより、第2の絶縁層
に、ヴィアホールコンタクト用となる第2の開口と、第
2の絶縁層の上面から第2の開口と連続するように形成
された、第2の開口よりも大きな開口面積および幅を有
する第3の開口とを形成する工程と、第2の開口内およ
び第3の開口内に、その底面が第1の配線層と電気的に
接続されるように、第2の配線層を形成する工程とを備
えている。
【0015】
【作用】請求項1に係る半導体装置では、第1の絶縁層
上にエッチング防止膜が形成されているので、第2の絶
縁層に第2の開口を形成する際にパターンずれが生じた
としても第2の開口を形成するためのエッチングによっ
て第1の絶縁層がエッチングされるのが有効に防止され
る。これにより、従来のように第1の絶縁層にリセス部
が形成されるのが防止される。
【0016】また、第2の絶縁層がその内部にエッチン
グ防止膜を介在することなく一体的に堆積形成されてい
ることにより、第2の絶縁層の内部の第2の開口と第3
の開口との境界部等にエッチング防止膜を介在している
場合に比べて、その部分での剥離等の発生が防止され、
機械的強度の高い半導体装置を得ることができる。
【0017】さらに、第2の絶縁層がその内部にエッチ
ング防止膜を介在しないことにより、請求項2に記載の
ような製造工程を採用可能であり、第2および第3の開
口を形成するための工程の簡略化を図ることができる。
【0018】請求項2に係る半導体装置の製造方法で
は、第1の絶縁層上にエッチング防止膜が形成され、そ
のエッチング防止膜上に第2の絶縁層が形成され、第2
の絶縁層の所定領域をエッチングすることによって第2
の開口が形成されるので、第2の開口を形成する際にパ
ターンずれが生じたとしても第2の開口を形成するため
のエッチングによって第1の絶縁層がエッチングされる
のが有効に防止される。これにより、第1の絶縁層にリ
セス部が形成されるのが防止される。
【0019】また、エッチング防止膜を介在させること
なく、しかも、第3の開口の底部外周にリセス部を形成
することなく第2および第3の開口を形成することがで
き、請求項1に記載の半導体装置の構造を、効率的に形
成することができる。
【0020】
【実施例】以下、本発明の実施例を図面に基づいて説明
する。
【0021】図1は本発明の実施例による多層配線構造
を有する半導体装置を示した断面図である。図1を参照
して、この実施例の半導体装置は、シリコン半導体基板
1と、シリコン半導体基板1上に形成された溝aを有す
る第1の層間絶縁膜2と、溝a内に形成された第1の配
線層4と、第1の層間絶縁膜2上に形成された第1のエ
ッチング防止膜3と、第1のエッチング防止膜3および
第1の配線層4上に形成された溝bおよびcを有する第
2の層間絶縁膜5と、溝bおよびc内に第1の配線層4
に電気的に接続するように形成された第2の配線層7
と、第2の層間絶縁膜5上に形成された第2のエッチン
グ防止膜6とを備えている。
【0022】このように、この実施例では、第1の層間
絶縁膜2上に第1のエッチング防止膜3を形成すること
によって、溝bおよびcの形成時にパターンずれが生じ
た場合にも、溝bおよびcの形成のためのエッチングに
よって第1の層間絶縁膜2の上面がエッチングされるこ
とがない。これにより、従来のように第1の層間絶縁膜
2にリセス部が形成されるのが有効に防止される。この
結果、第1の層間絶縁膜2の下層としてシリコン半導体
基板1の代わりにトランジスタなどの回路構成素子を形
成した場合にその回路構成素子と第2の配線層7との間
で短絡が発生したり、回路構成素子に損傷を与えたりす
るという問題点を解消することができる。
【0023】図2〜図9は、図1に示した実施例の半導
体装置の製造プロセスを説明するための断面構造図であ
る。図1および図2〜図9を参照して、次に実施例の半
導体装置の製造プロセスについて説明する。
【0024】まず、図2に示すように、シリコン半導体
基板1上に第1の層間絶縁膜2を形成する。第1の層間
絶縁膜2上にエッチング防止膜3を形成する。なお、シ
リコン半導体基板1の代わりにトランジスタなどの回路
構成素子およびそれを覆う絶縁層を第1の層間絶縁膜2
の下層として形成してもよい。ここで、エッチング防止
膜3の材料としては、Poly phenylsilsesquioxane を回
転塗布し焼成して成膜したもの(以下PPSQ膜とい
う)を用いている。図10は、Poly phenylsilsesquiox
ane の化学式を示した模式図である。PPSQ膜のドラ
イエッチングでのエッチングレートは、第1の層間絶縁
膜2および第2の層間絶縁膜5の主材料であるシリコン
酸化膜の約1/3〜1/4である。したがって、十分に
エッチング防止膜としての効果がある。
【0025】次に、図3に示すように、第1の層間絶縁
膜2および第1のエッチング防止膜3に写真製版技術と
エッチング技術とを用いて第1の配線層4用の溝aを形
成する。
【0026】次に、図4に示すように、全面に第1の配
線層4を形成した後第1のエッチング防止膜3の上表面
が露出するまで第1の配線層4をエッチングする。これ
により、図5に示すような形状を有する第1の配線層4
が形成される。このエッチングは、化学的機械研磨法を
使用する。化学的機械研磨法は、化学的にエッチングす
る効果を有する研磨剤をウェハ表面に流しながら研磨パ
ッドをウェハに押付けて機械的にエッチングする方法で
ある。なお、第1の配線層4の形成時のエッチングは通
常の反応ガス(SF6 、NF3 、Cl、O2 など)によ
りドライエッチングを用いて行なってもよい。
【0027】次に、図6に示すように、全面に第2の層
間絶縁膜5および第2の層間絶縁膜5上に第2のエッチ
ング防止膜6を形成する。
【0028】次に、図7に示すように、写真製版技術と
エッチング技術を用いて、所望のパターンを有するビア
ホールコンタクト用の溝bを形成した後、図8に示すよ
うに第2の配線層7用の溝cを形成する。この溝bおよ
びcを形成する際のエッチングは、反応ガスによるドラ
イエッチングを使用する。そして、溝bおよびcの形成
時にパターンずれが生じたとしても溝bおよびcの形成
のためのドライエッチングによって第1の層間絶縁膜2
がエッチングされることはない。つまり、第1のエッチ
ング防止膜3によって第1の層間絶縁膜2がドライエッ
チングされるのが有効に防止される。これにより、従来
のように第1の層間絶縁膜2にリセス部が形成されるこ
とがない。
【0029】次に、図9に示すように、全面に第2の配
線層7を形成した後第2のエッチング防止膜6の上面が
露出するまで第2の配線層7をエッチングする。これに
より、図1に示したような形状を有する第2の配線層7
が形成される。
【0030】図11は、特許請求の範囲に記載の発明に
は包含されないが、本発明にとって参考となる多層配線
構造を有する半導体装置の一例(以下「参考例」と記
す)を示した断面図である。図11を参照して、この参
考例の半導体装置は、ビアホールコンタクト用の溝bを
形成するための層間絶縁膜25と第2の配線層9用の溝
cを形成するための第3の層間絶縁膜35とを別々に形
成する。すなわち、第1のエッチング防止膜3および第
1の配線層4上には、ビアホールコンタクト用の溝bを
有する第2の層間絶縁膜25が形成されている。そし
て、第2の層間絶縁膜25上には第2のエッチング防止
膜6が形成されている。溝b内には配線層8が形成され
ている。配線層8および第2のエッチング防止膜6上に
は第2の配線層9用の溝cを有する第3の層間絶縁膜3
5が形成されている。溝c内には第2の配線層9が配線
層8と電気的に接続するように形成されている。第3の
層間絶縁膜35上には第3のエッチング防止膜10が形
成されている。
【0031】図12ないし図17は、図11に示した参
考例の半導体装置の製造プロセスを説明するための断面
構造図である。図11および図12〜図17を参照し
て、次に参考例の半導体装置の製造プロセスについて説
明する。
【0032】まず、図12に示すように、図2〜図5に
示した実施例の製造プロセスと同様のプロセスを用いて
第1の配線層4を形成した後、第2の層間絶縁膜25を
形成する。第2の層間絶縁膜25上に第2のエッチング
防止膜6を形成する。
【0033】次に、図13に示すように、写真製版技術
とエッチング技術とを用いて、ビアホールコンタクト用
の溝bを形成する。
【0034】次に、図14に示すように、溝b内に第1
の配線層8をCVD法を用いて選択的に形成する。な
お、この配線層8は、全面に配線層8を形成した後第1
のエッチング防止膜3の上面が露出するまでエッチング
することによって形成してもよい。なお、この溝bの形
成時にパターンずれが生じた場合にも、第1のエッチン
グ防止膜3によって第1の層間絶縁膜2に従来のような
リセス部が形成されるのが有効に防止される。
【0035】次に、図15に示すように、第2のエッチ
ング防止膜6および配線層8上に第3の層間絶縁膜35
を形成する。第3の層間絶縁膜35上に第3のエッチン
グ防止膜10を形成する。
【0036】次に、図16に示すように、写真製版技術
とエッチング技術とを用いて、溝cを形成する。次に、
図17に示すように、全面を覆うように第2の配線層9
を形成する。最後に、第3のエッチング防止膜10の上
面が露出するまで第2の配線層9をエッチングする。こ
れにより、図11に示したような形状を有する第2の配
線層9が形成される。なお、図16に示した工程におい
て、溝cを形成する際にパターンずれが生じたとして
も、第2のエッチング防止膜6によって、第2の層間絶
縁膜25がエッチングされることがなく、第2の層間絶
縁膜25にリセス部が形成されるのが有効に防止され
る。
【0037】このように、実施例および参考例では、層
間絶縁膜上にエッチングレートが層間絶縁膜の主材料よ
り遅いエッチング防止膜を形成することによって、ビア
ホールコンタクト用の溝や上層配線を埋込むための溝を
形成する際にパターンずれが生じたとしても、それらの
溝の形成のためのエッチングによって下層の層間絶縁膜
にリセス部が形成されるのを有効に防止することができ
る。
【0038】
【発明の効果】請求項1に係る発明によれば、第1の絶
縁層上にエッチング防止膜を形成することによって、エ
ッチング防止膜上に形成される第2の絶縁層に第2の開
口を形成する際にパターンずれが生じたとしても、第2
の開口形成時のエッチングによって第1の絶縁層がエッ
チングされるのが防止される。これにより、第1の絶縁
層に凹部が形成されるのが有効に防止される。この結
果、たとえば第1の絶縁層の下層としてトランジスタな
どの回路構成素子を形成した場合に、そのような回路素
子を損傷させることがないとともに第2の配線層と回路
構成素子とが短絡することも防止することができる。
【0039】また、第2の絶縁層がその内部にエッチン
グ防止膜を介在することなく一体的に堆積形成されてい
ることにより、機械的強度の高い半導体装置を得ること
ができるとともに、請求項2に記載のような製造工程を
採用可能であり、第2および第3の開口を形成するため
の工程の簡略化を図ることができる。
【0040】請求項2に係る発明によれば、第1の絶縁
層上にエッチング防止膜を形成し、エッチング防止膜上
に第2の絶縁層を形成し、第2の絶縁層の所定領域をエ
ッチングすることによって第2の開口を形成することに
より、第2の開口の形成時にパターンずれが生じたとし
ても第2の開口の形成のためのエッチングによって第1
の絶縁層がエッチングされるのが防止される。これによ
り、第1の絶縁層にリセス部が形成されるのを有効に防
止することができる。
【0041】また、エッチング防止膜を介在させること
なく、しかも、第3の開口の底部外周にリセス部を形成
することなく第2および第3の開口を形成することがで
き、請求項1に記載の半導体装置の構造を、効率的に形
成することができる。
【図面の簡単な説明】
【図1】 本発明の実施例による多層配線構造を有する
半導体装置を示した断面図である。
【図2】 図1に示した実施例の半導体装置の製造プロ
セスの第1工程を説明するための断面構造図である。
【図3】 図1に示した実施例の半導体装置の製造プロ
セスの第2工程を説明するための断面構造図である。
【図4】 図1に示した実施例の半導体装置の製造プロ
セスの第3工程を説明するための断面構造図である。
【図5】 図1に示した実施例の半導体装置の製造プロ
セスの第4工程を説明するための断面構造図である。
【図6】 図1に示した実施例の半導体装置の製造プロ
セスの第5工程を説明するための断面構造図である。
【図7】 図1に示した実施例の半導体装置の製造プロ
セスの第6工程を説明するための断面構造図である。
【図8】 図1に示した実施例の半導体装置の製造プロ
セスの第7工程を説明するための断面構造図である。
【図9】 図1に示した実施例の半導体装置の製造プロ
セスの第8工程を説明するための断面構造図である。
【図10】 Poly phenylsilsesquioxane の化学式を示
した模式図である。
【図11】 本発明の参考例による多層配線構造を有す
る半導体装置を示した断面図である。
【図12】 図11に示した参考例の半導体装置の製造
プロセスの第1工程を説明するための断面構造図であ
る。
【図13】 図11に示した参考例の半導体装置の製造
プロセスの第2工程を説明するための断面構造図であ
る。
【図14】 図11に示した参考例の半導体装置の製造
プロセスの第3工程を説明するための断面構造図であ
る。
【図15】 図11に示した参考例の半導体装置の製造
プロセスの第4工程を説明するための断面構造図であ
る。
【図16】 図11に示した参考例の半導体装置の製造
プロセスの第5工程を説明するための断面構造図であ
る。
【図17】 図11に示した参考例の半導体装置の製造
プロセスの第6工程を説明するための断面構造図であ
る。
【図18】 従来の多層配線構造を有する半導体装置を
示した断面図である。
【図19】 図18に示した従来の半導体装置の製造プ
ロセスの第1工程を説明するための断面構造図である。
【図20】 図18に示した従来の半導体装置の製造プ
ロセスの第2工程を説明するための断面構造図である。
【図21】 図18に示した従来の半導体装置の製造プ
ロセスの第3工程を説明するための断面構造図である。
【図22】 図18に示した従来の半導体装置の製造プ
ロセスの第4工程を説明するための断面構造図である。
【図23】 図18に示した従来の半導体装置の製造プ
ロセスの第5工程を説明するための断面構造図である。
【図24】 図18に示した従来の半導体装置の製造プ
ロセスの第6工程を説明するための断面構造図である。
【図25】 図18に示した従来の半導体装置の製造プ
ロセスの第7工程を説明するための断面構造図である。
【図26】 図18に示した従来の半導体装置の製造プ
ロセスの第8工程を説明するための断面構造図である。
【図27】 従来の多層配線構造を有する半導体装置の
問題点を説明するための断面構造図である。
【符号の説明】
1 シリコン半導体基板、2 第1の層間絶縁膜、3
第1のエッチング防止膜、4 第1の配線層、5 第2
の層間絶縁膜、6 第2のエッチング防止膜、7 第2
の配線層、a 第1の配線層用の溝、b ビアホールコ
ンタクト用の溝、c 第2の配線層用の溝。なお、各図
中、同一符号は同一または相当部分を示す。

Claims (2)

    (57)【特許請求の範囲】
  1. 【請求項1】 多層配線構造を有する半導体装置であっ
    て、 半導体基板上に形成された第1の絶縁層と、 前記第1の絶縁層上に形成された、PPSQ膜からなる
    エッチング防止膜と、前記エッチング防止膜を貫通し
    て、前記第1の絶縁膜に設けられた第1の開口と、 前記第1の開口内に形成された第1の配線層と、 前記エッチング防止膜と前記第1の配線層との上に形成
    されるとともに、内部にエッチング防止膜を介在するこ
    となく一体的に堆積形成された、第2の絶縁層と、 前記第1の開口と重なる領域において、前記第2の絶縁
    層の内部から前記第1の配線層の上面に至るように前記
    第2の絶縁層に形成された、ヴィアホールコンタクト用
    の第2の開口と、 前記第2の絶縁層の上面から前記第2の開口と連続する
    ように形成された、前記第2の開口よりも大きな開口面
    積および幅を有する第3の開口と、 前記第2の開口内および前記第3の開口内に、前記第1
    の配線層と電気的に接続するように形成された第2の配
    線層とを備えた、半導体装置。
  2. 【請求項2】 多層配線構造を有する半導体装置の製造
    方法であって、 半導体基板上に第1の絶縁層を形成する工程と、 前記第1の絶縁層上に、PPSQ膜からなるエッチング
    防止膜を形成する工程と、 前記エッチング防止膜および前記第1の絶縁層の所定領
    域にエッチングを施すことにより、前記エッチング防止
    膜を貫通して前記第1の絶縁層に第1の開口を形成する
    工程と、 前記第1の開口内に第1の配線層を形成する工程と、 前記エッチング防止膜上および前記第1の配線層上に、
    エッチング防止膜を介在させることなく一体的に堆積さ
    せて第2の絶縁層を形成する工程と、 前記第2の絶縁層の上面から所定の深さにかけて、前記
    第1の配線層に接続するヴィアホールコンタクト用の第
    2の開口のパターンの溝を、エッチングによって形成す
    る工程と、 前記第2の開口のパターンの領域を包含する領域の前記
    第2の絶縁膜に、前記第2の開口のパターンの溝の底部
    が前記第1の配線層の上面に達するまでエッチングを施
    すことにより、前記第2の絶縁層に、ヴィアホールコン
    タクト用となる前記第2の開口と、前記第2の絶縁層の
    上面から前記第2の開口と連続するように形成された、
    前記第2の開口よりも大きな開口面積および幅を有する
    第3の開口とを形成する工程と、 前記第2の開口内および前記第3の開口内に、その底面
    が前記第1の配線層と電気的に接続されるように、第2
    の配線層を形成する工程とを備えた、半導体装置の製造
    方法。
JP4166179A 1992-06-24 1992-06-24 半導体装置およびその製造方法 Expired - Fee Related JP2934353B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP4166179A JP2934353B2 (ja) 1992-06-24 1992-06-24 半導体装置およびその製造方法
DE4319070A DE4319070C2 (de) 1992-06-24 1993-06-08 Halbleitervorrichtung mit einer Mehrschicht-Verbindungsstruktur und Herstellungsverfahren dafür
US08/575,842 US5598027A (en) 1992-06-24 1995-12-21 Semiconductor device and method of fabricating the same
US08/717,360 US5926732A (en) 1992-06-24 1996-09-20 Method of making a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP4166179A JP2934353B2 (ja) 1992-06-24 1992-06-24 半導体装置およびその製造方法

Publications (2)

Publication Number Publication Date
JPH0613470A JPH0613470A (ja) 1994-01-21
JP2934353B2 true JP2934353B2 (ja) 1999-08-16

Family

ID=15826546

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4166179A Expired - Fee Related JP2934353B2 (ja) 1992-06-24 1992-06-24 半導体装置およびその製造方法

Country Status (3)

Country Link
US (2) US5598027A (ja)
JP (1) JP2934353B2 (ja)
DE (1) DE4319070C2 (ja)

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470788A (en) * 1994-02-28 1995-11-28 International Business Machines Corporation Method of making self-aligned, lateral diffusion barrier in metal lines to eliminate electromigration
US5619072A (en) * 1995-02-09 1997-04-08 Advanced Micro Devices, Inc. High density multi-level metallization and interconnection structure
US6740573B2 (en) * 1995-02-17 2004-05-25 Micron Technology, Inc. Method for forming an integrated circuit interconnect using a dual poly process
JP3022744B2 (ja) * 1995-02-21 2000-03-21 日本電気株式会社 半導体装置及びその製造方法
KR0186085B1 (ko) * 1995-09-02 1999-04-15 문정환 배선 형성방법
JPH09153545A (ja) * 1995-09-29 1997-06-10 Toshiba Corp 半導体装置及びその製造方法
JP2809200B2 (ja) * 1996-06-03 1998-10-08 日本電気株式会社 半導体装置の製造方法
US5916524A (en) * 1997-07-23 1999-06-29 Bio-Dot, Inc. Dispensing apparatus having improved dynamic range
US5872056A (en) * 1997-02-07 1999-02-16 Micron Technology, Inc. Semiconductor processing methods of forming self-aligned contact openings
TW315517B (en) * 1997-02-21 1997-09-11 United Microelectronics Corp Eliminating poisoned via problem
US5960316A (en) * 1997-03-31 1999-09-28 Intel Corporation Method to fabricate unlanded vias with a low dielectric constant material as an intraline dielectric
NL1005911C2 (nl) * 1997-04-25 1998-10-27 United Microelectronics Corp Zelf-uitgerichte, niet-gelande doorgangsmetallisatie.
US6080655A (en) 1997-08-21 2000-06-27 Micron Technology, Inc. Method for fabricating conductive components in microelectronic devices and substrate structures thereof
GB2330001B (en) * 1997-10-06 1999-09-01 United Microelectronics Corp Method of forming an integrated circuit device
NL1007464C2 (nl) * 1997-11-06 1999-05-07 United Microelectronics Corp Verbindingsstructuur met gas-diëlektricum die compatibel is met contactpuntloze doorgangen.
TW366563B (en) * 1998-02-09 1999-08-11 United Microelectronics Corp Double damascene structure and the manufacturing method
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6081032A (en) * 1998-02-13 2000-06-27 Texas Instruments - Acer Incorporated Dual damascene multi-level metallization and interconnection structure
US5976967A (en) * 1998-02-13 1999-11-02 Texas Instruments - Acer Incorporated Dual damascene process for multi-level metallization and interconnection structure
TW368741B (en) * 1998-02-26 1999-09-01 United Microelectronics Corp Manufacturing method for dual damascene
TW376351B (en) * 1998-03-17 1999-12-11 United Microelectronics Corp Polishing barrier structure of chemical mechanical polishing
FR2779274B1 (fr) 1998-05-27 2000-08-18 St Microelectronics Sa Circuit integre avec couche d'arret et procede de fabrication associe
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
NL1009459C2 (nl) * 1998-06-22 1999-12-27 United Microelectronics Corp Tweevoudig-gedamasceerde structuur en vervaardigingswerkwijze hiervoor.
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
US6326296B1 (en) * 1998-07-01 2001-12-04 Taiwan Semiconductor Manufacturing Company Method of forming dual damascene structure with improved contact/via edge integrity
US6319813B1 (en) 1998-07-06 2001-11-20 Micron Technology, Inc. Semiconductor processing methods of forming integrated circuitry and integrated circuitry constructions
KR100285698B1 (ko) * 1998-07-13 2001-04-02 윤종용 반도체장치의제조방법
US6323118B1 (en) * 1998-07-13 2001-11-27 Taiwan Semiconductor For Manufacturing Company Borderless dual damascene contact
TW405223B (en) * 1998-07-28 2000-09-11 United Microelectronics Corp Method for avoiding the poisoning at the trench of the dual damascene structure and the dielectric hole
US6172421B1 (en) * 1998-08-11 2001-01-09 Advanced Micro Devices, Inc. Semiconductor device having an intermetallic layer on metal interconnects
US6147000A (en) * 1998-08-11 2000-11-14 Advanced Micro Devices, Inc. Method for forming low dielectric passivation of copper interconnects
JP3631380B2 (ja) * 1998-08-28 2005-03-23 株式会社東芝 半導体装置及びその製造方法
US6025276A (en) * 1998-09-03 2000-02-15 Micron Technology, Inc. Semiconductor processing methods of forming substrate features, including contact openings
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
JP4095731B2 (ja) * 1998-11-09 2008-06-04 株式会社ルネサステクノロジ 半導体装置の製造方法及び半導体装置
KR100576467B1 (ko) * 1998-12-30 2006-08-21 주식회사 하이닉스반도체 반도체소자의 캐패시터 형성방법
US6358831B1 (en) 1999-03-03 2002-03-19 Taiwan Semiconductor Manufacturing Company Method for forming a top interconnection level and bonding pads on an integrated circuit chip
US6262484B1 (en) * 1999-04-20 2001-07-17 Advanced Micro Devices, Inc. Dual damascene method for backened metallization using poly stop layers
JP2001007202A (ja) * 1999-06-22 2001-01-12 Sony Corp 半導体装置の製造方法
JP2001044195A (ja) * 1999-07-28 2001-02-16 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6133144A (en) * 1999-08-06 2000-10-17 Taiwan Semiconductor Manufacturing Company Self aligned dual damascene process and structure with low parasitic capacitance
US6284642B1 (en) 1999-08-11 2001-09-04 Taiwan Semiconductor Manufacturing Company Integrated method of damascene and borderless via process
US7276788B1 (en) * 1999-08-25 2007-10-02 Micron Technology, Inc. Hydrophobic foamed insulators for high density circuits
US7335965B2 (en) 1999-08-25 2008-02-26 Micron Technology, Inc. Packaging of electronic chips with air-bridge structures
US20020055250A1 (en) * 1999-10-12 2002-05-09 Manoj K Jain Dielectric structure and method for minimizing erosion during chemical mechanical polishing of metals
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
JP2001135723A (ja) * 1999-11-04 2001-05-18 Nec Corp 半導体装置及びその製造方法
US6329281B1 (en) * 1999-12-03 2001-12-11 Agere Systems Guardian Corp. Methods for fabricating a multilevel interconnection for an integrated circuit device utilizing a selective overlayer
US6677209B2 (en) 2000-02-14 2004-01-13 Micron Technology, Inc. Low dielectric constant STI with SOI devices
US6413827B2 (en) * 2000-02-14 2002-07-02 Paul A. Farrar Low dielectric constant shallow trench isolation
US6890847B1 (en) * 2000-02-22 2005-05-10 Micron Technology, Inc. Polynorbornene foam insulation for integrated circuits
US6858937B2 (en) * 2000-03-02 2005-02-22 Micron Technology, Inc. Backend metallization method and device obtained therefrom
JP2001345297A (ja) * 2000-05-30 2001-12-14 Hitachi Ltd 半導体集積回路装置の製造方法及び研磨装置
US6352917B1 (en) 2000-06-21 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Reversed damascene process for multiple level metal interconnects
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
DE10059935A1 (de) * 2000-11-28 2002-06-06 Infineon Technologies Ag Dicht gepackte Halbleiterstruktur und Verfahren zum Herstellen einer solchen
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
DE10134100A1 (de) * 2001-07-13 2002-10-02 Infineon Technologies Ag Kontaktierung von Damascene-Leiterbahnen in integrierten Halbleiterschaltungen
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6461887B1 (en) * 2002-01-03 2002-10-08 Chartered Semiconductor Manufacturing Ltd. Method to form an inverted staircase STI structure by etch-deposition-etch and selective epitaxial growth
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US20030206337A1 (en) * 2002-05-06 2003-11-06 Eastman Kodak Company Exposure apparatus for irradiating a sensitized substrate
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6686270B1 (en) * 2002-08-05 2004-02-03 Advanced Micro Devices, Inc. Dual damascene trench depth monitoring
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US7074717B2 (en) * 2003-03-04 2006-07-11 Micron Technology, Inc. Damascene processes for forming conductive structures
US20050137882A1 (en) * 2003-12-17 2005-06-23 Cameron Don T. Method for authenticating goods
JP4938222B2 (ja) * 2004-02-03 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置
US7238620B1 (en) 2004-02-18 2007-07-03 National Semiconductor Corporation System and method for providing a uniform oxide layer over a laser trimmed fuse with a differential wet etch stop technique
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7297629B2 (en) * 2004-09-15 2007-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra-thick metal-copper dual damascene process
US7422985B2 (en) * 2005-03-25 2008-09-09 Sandisk 3D Llc Method for reducing dielectric overetch using a dielectric etch stop at a planar surface
US7521353B2 (en) * 2005-03-25 2009-04-21 Sandisk 3D Llc Method for reducing dielectric overetch when making contact to conductive features
US20080174022A1 (en) * 2007-01-22 2008-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication method thereof
JP5357401B2 (ja) * 2007-03-22 2013-12-04 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2009246394A (ja) * 2009-07-27 2009-10-22 Nec Corp 半導体装置の製造方法
US20110115047A1 (en) * 2009-11-13 2011-05-19 Francois Hebert Semiconductor process using mask openings of varying widths to form two or more device structures
US9524916B2 (en) * 2012-10-31 2016-12-20 International Business Machines Corporation Structures and methods for determining TDDB reliability at reduced spacings using the structures
US9577023B2 (en) * 2013-06-04 2017-02-21 Globalfoundries Inc. Metal wires of a stacked inductor
US9905456B1 (en) * 2016-09-26 2018-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20190109090A1 (en) * 2017-08-15 2019-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure lined by isolation layer

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0021818B1 (en) * 1979-06-21 1983-10-05 Fujitsu Limited Improved electronic device having multilayer wiring structure
US4367119A (en) * 1980-08-18 1983-01-04 International Business Machines Corporation Planar multi-level metal process with built-in etch stop
JPS5760330A (en) * 1980-09-27 1982-04-12 Fujitsu Ltd Resin composition
US4443932A (en) * 1982-01-18 1984-04-24 Motorla, Inc. Self-aligned oxide isolated process and device
CA1204527A (en) * 1982-08-13 1986-05-13 Theodore F. Retajczyk, Jr. Polymeric films for electronic circuits
JPS61152040A (ja) * 1984-12-26 1986-07-10 Hitachi Micro Comput Eng Ltd 半導体装置の製造方法
JP2519217B2 (ja) * 1985-09-11 1996-07-31 テキサス インスツルメンツ インコ−ポレイテツド 相互接続導体を形成する方法
AR241298A1 (es) * 1985-10-03 1992-04-30 Siemens Ag Ecualizador transversal adaptivo .
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4723978A (en) * 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
JPS62160441A (ja) * 1986-01-09 1987-07-16 Hitachi Chem Co Ltd ホトレジスト用感光性組成物
US5063175A (en) * 1986-09-30 1991-11-05 North American Philips Corp., Signetics Division Method for manufacturing a planar electrical interconnection utilizing isotropic deposition of conductive material
US4832789A (en) * 1988-04-08 1989-05-23 American Telephone And Telegrph Company, At&T Bell Laboratories Semiconductor devices having multi-level metal interconnects
FR2630588A1 (fr) * 1988-04-22 1989-10-27 Philips Nv Procede pour realiser une configuration d'interconnexion sur un dispositif semiconducteur notamment un circuit a densite d'integration elevee
JPH02156537A (ja) * 1988-12-08 1990-06-15 Fujitsu Ltd 半導体装置の製造方法
JP2578193B2 (ja) * 1989-02-01 1997-02-05 沖電気工業株式会社 半導体素子の製造方法
US5198298A (en) * 1989-10-24 1993-03-30 Advanced Micro Devices, Inc. Etch stop layer using polymers
JPH03205829A (ja) * 1990-01-08 1991-09-09 Nec Corp 半導体装置の製造方法
DE69125210T2 (de) * 1990-05-31 1997-08-07 Canon Kk Verfahren zur Herstellung einer Halbleitervorrichtung mit einer Verdrahtungsstruktur hoher Dichte
JP2646289B2 (ja) * 1990-06-01 1997-08-27 富士写真フイルム株式会社 レジスト組成物
DE69131658T2 (de) * 1990-06-25 2000-04-27 Matsushita Electronics Corp Licht- oder strahlungsempfindliche Zusammensetzung
FR2663784B1 (fr) * 1990-06-26 1997-01-31 Commissariat Energie Atomique Procede de realisation d'un etage d'un circuit integre.
US5150812A (en) * 1990-07-05 1992-09-29 Hoechst Celanese Corporation Pressurized and/or cryogenic gas containers and conduits made with a gas impermeable polymer
US5235205A (en) * 1991-04-23 1993-08-10 Harris Corporation Laser trimmed integrated circuit
US5219793A (en) * 1991-06-03 1993-06-15 Motorola Inc. Method for forming pitch independent contacts and a semiconductor device having the same
US5442237A (en) * 1991-10-21 1995-08-15 Motorola Inc. Semiconductor device having a low permittivity dielectric
JP2726348B2 (ja) * 1992-02-03 1998-03-11 沖電気工業株式会社 放射線感応性樹脂組成物
US5321211A (en) * 1992-04-30 1994-06-14 Sgs-Thomson Microelectronics, Inc. Integrated circuit via structure
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5328553A (en) * 1993-02-02 1994-07-12 Motorola Inc. Method for fabricating a semiconductor device having a planar surface
US5516729A (en) * 1994-06-03 1996-05-14 Advanced Micro Devices, Inc. Method for planarizing a semiconductor topography using a spin-on glass material with a variable chemical-mechanical polish rate
US5506172A (en) * 1994-08-29 1996-04-09 Micron Technology, Inc. Semiconductor processing method of forming an electrical interconnection between an outer layer and an inner layer
KR0138305B1 (ko) * 1994-11-30 1998-06-01 김광호 반도체소자 배선형성방법
US5691238A (en) * 1995-06-07 1997-11-25 Advanced Micro Devices, Inc. Subtractive dual damascene
US5759911A (en) * 1995-08-22 1998-06-02 International Business Machines Corporation Self-aligned metallurgy
US5552344A (en) * 1995-11-16 1996-09-03 Taiwan Semiconductor Manufacturing Company Non-etchback self-aligned via size reduction method employing ozone assisted chemical vapor deposited silicon oxide
US5661083A (en) * 1996-01-30 1997-08-26 Integrated Device Technology, Inc. Method for via formation with reduced contact resistance
US5741741A (en) * 1996-05-23 1998-04-21 Vanguard International Semiconductor Corporation Method for making planar metal interconnections and metal plugs on semiconductor substrates

Also Published As

Publication number Publication date
JPH0613470A (ja) 1994-01-21
US5598027A (en) 1997-01-28
DE4319070C2 (de) 2003-09-25
DE4319070A1 (de) 1994-01-05
US5926732A (en) 1999-07-20

Similar Documents

Publication Publication Date Title
JP2934353B2 (ja) 半導体装置およびその製造方法
US6531783B1 (en) Method of via formation for multilevel interconnect integrated circuits
US5731221A (en) Isolation method in a semiconductor device
JPH04174541A (ja) 半導体集積回路及びその製造方法
US5593921A (en) Method of forming vias
JP3700460B2 (ja) 半導体装置およびその製造方法
JP3214475B2 (ja) デュアルダマシン配線の形成方法
JPH0982804A (ja) 半導体装置及びその製造方法
JPH09116006A (ja) 多層配線の形成方法
JPH10106968A (ja) 半導体装置およびその製造方法
JP3012187B2 (ja) 半導体装置の製造方法
KR950012918B1 (ko) 선택적 텅스텐 박막의 2단계 퇴적에 의한 콘택 매립방법
US6194257B1 (en) Fabrication method of gate electrode having dual gate insulating film
US6265284B1 (en) Method of manufacturing a trench isolation region in a semiconductor device
JP2872086B2 (ja) 半導体装置の製造方法
US5847457A (en) Structure and method of forming vias
JPH07235594A (ja) 半導体装置の製造方法
JPH04139828A (ja) 半導体装置の製造方法
KR100477821B1 (ko) 반도체소자의금속배선형성방법
KR100278274B1 (ko) 반도체장치의스택콘택형성방법
JPH08288385A (ja) 半導体装置の製造方法
JPH067576B2 (ja) 多層配線構造を有する半導体装置の製造方法
JPH0856024A (ja) 集積回路の製造方法
JP2950620B2 (ja) 半導体装置
KR0154190B1 (ko) 반도체 소자의 텅스텐-플러그 형성방법

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 19981124

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19990518

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080528

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees