JPH09153545A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法

Info

Publication number
JPH09153545A
JPH09153545A JP8212332A JP21233296A JPH09153545A JP H09153545 A JPH09153545 A JP H09153545A JP 8212332 A JP8212332 A JP 8212332A JP 21233296 A JP21233296 A JP 21233296A JP H09153545 A JPH09153545 A JP H09153545A
Authority
JP
Japan
Prior art keywords
film
insulating film
groove
stopper
contact hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8212332A
Other languages
English (en)
Inventor
Masahiro Inohara
正弘 猪原
Tadashi Matsunou
正 松能
Hideki Shibata
英毅 柴田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP8212332A priority Critical patent/JPH09153545A/ja
Priority to KR1019960043399A priority patent/KR100253852B1/ko
Priority to US08/720,241 priority patent/US5976972A/en
Priority to DE69625975T priority patent/DE69625975T2/de
Priority to EP96115556A priority patent/EP0766303B1/en
Priority to CNB961203277A priority patent/CN1154170C/zh
Priority to CNB2003101143767A priority patent/CN1266760C/zh
Priority to MYPI96004025A priority patent/MY113878A/en
Priority to TW085111906A priority patent/TW349262B/zh
Publication of JPH09153545A publication Critical patent/JPH09153545A/ja
Priority to US09/224,173 priority patent/US6163067A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】配線幅、配線間隔縮小に対応可能な次世代の配
線形成方法を提供する。 【解決手段】基板11上には、ストッパ膜13aと絶縁
膜13bが形成される。ストッパ膜13aには、絶縁膜
13bに対してRIEによる選択比が大きいものが選択
される。絶縁膜13b上には、ストッパ膜14aと絶縁
膜14bが形成される。ストッパ膜14aには、コンタ
クトホール32のパターンが形成されている。レジスト
膜35には、配線パターンが形成されている。レジスト
膜35及びストッパ膜14aをマスクにしてRIEによ
り絶縁膜13b,14bをエッチングすると、配線形成
のための溝31と、コンタクトプラグ形成のためのコン
タクトホール32が自己整合的に同時に形成される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、多層配線構造を有
する半導体装置及びその製造方法に関する。特にリソグ
ラフィ技術を用いた微細な配線と配線層間のコンタクト
・プラグの形成を含む、る半導体装置及びその製造方法
に関する。
【0002】
【従来の技術】現在、最もよく使用されている配線の形
成方法は、絶縁膜上に一様に堆積した導電膜をリソグラ
フィ技術とエッチング技術により加工した後にCVD技
術により配線間及び上に絶縁膜を形成する、というもの
である。しかし、このような配線の形成方法では、半導
体集積回路の高集積化により配線幅や配線間隔の縮小が
進行すると、精確な配線の加工が困難になったり、また
は配線間に絶縁膜を満たすことが困難になる。そこで、
絶縁膜に形成された溝内に導電部材を埋め込むことによ
り配線を形成するという配線の形成方法、いわゆるダマ
シン(Damascene)法が検討されている。
【0003】図39〜図41は、ダマシン法を適用した
配線形成方法の各工程を示すものである。まず、図39
に示すように、シリコン基板11上にフィールド酸化膜
12を形成する。フィールド酸化膜に取り囲まれた素子
領域に、例えばMOSトランジスタを形成する。シリコ
ン基板11上の全面に、シリコン酸化膜13,14を形
成する。この後、フォトリソグラフィ工程、エッチング
工程によりシリコン酸化膜14を加工し、配線が形成さ
れる溝31及びコンタクトホール32を形成する。続け
て、フォトリソグラフィ工程、エッチング工程によりシ
リコン酸化膜13を加工し、溝31の底部からMOSト
ランジスタのゲート電極21、ソース・ドレイン領域2
2a,22bにそれぞれ達するコンタクトホール32を
形成する。
【0004】次に、図40に示すように、シリコン基板
11上の全面に導電膜16を形成し、かつ、CMP(化
学的機械研磨)技術を用いてこの導電膜16を溝31内
及びコンタクトホール32内にのみ残存させる。
【0005】次に、図41に示すように、シリコン酸化
膜14上及び導電膜16上にシリコン酸化膜17,18
を形成する。この後、フォトリソグラフィ工程、エッチ
ング工程によりシリコン酸化膜18を加工し、配線が形
成される溝33及びコンタクトホール34を形成する。
続けて、フォトリソグラフィ工程、エッチング工程によ
りシリコン酸化膜17を加工し、溝33の底部から導電
膜14に達するコンタクトホール34を形成する。この
後、シリコン基板11上の全面に導電膜20を形成し、
かつ、CMP(化学的機械研磨)技術を用いてこの導電
膜20を溝33内及びコンタクトホール34内にのみ残
存させる。そして、シリコン酸化膜18上及び導電膜2
0上に配線保護膜19を形成する。
【0006】上述の配線の形成方法においては、配線を
形成するための溝31,33と、この溝31,33内に
形成され、下層配線と上層配線を接続するためのコンタ
クトホール32,34は、フォトリソグラフィ工程とエ
ッチング工程を経てそれぞれ別々に形成される。
【0007】この場合、図42に示すように、コンタク
トホール32,34を形成するためのフォトリソグラフ
ィ工程においては、配線を形成するための溝31,33
の段差により、レジスト膜35の精確な解像が困難にな
るという問題がある。
【0008】さらに、シリコン酸化膜14,18に形成
された溝31,33の底面と側面は、直角に交わり、ま
た、シリコン酸化膜13,17に形成されたコンタクト
ホール32,34の底面と側面も、直角に交わっている
ため、導電膜16,20のカバレージが悪くなるという
こともあり、問題である。
【0009】ここで、図42に示した、レジスト膜35
の精確な解像が得られない問題について、さらに別の図
面を参照して説明する。図43〜図45は、従来のコン
タクトホール形成の工程を順に示す断面投影図である。
図43に示すように、半導体基板上の絶縁膜41中に下
層配線42が形成されている。この下層配線42を含む
絶縁膜41上に絶縁膜43、エッチングのストッパ膜4
4、絶縁膜45を順次積層する。絶縁膜45に対しその
所定領域に上層配線用の溝46を形成する。配線溝46
は下層配線42の領域上に形成し、形成時の異方性エッ
チングはエッチング・ストッパ膜44によって停止され
る。その後、露出したエッチング・ストッパ膜44を除
去する。
【0010】次に、図44に示すように、フォトリソグ
ラフィ工程に入る。絶縁膜45及び溝46に対してレジ
スト膜47を塗布し、図示しないが、パターニング用の
マスクを用いてレジストを露光、現像ずることにより、
溝46の所定領域のレジスト膜47を除去する必要があ
る。ここで、溝46の段差により、塗布されたレジスト
膜47の膜厚は不均一にならざるを得ない。つまり、溝
46に形成されたレジスト膜47の膜厚は絶縁膜45上
のそれより厚い。
【0011】従って、図44に示すように、レジスト膜
47のパターニングを行う場合には、レジスト膜47の
厚い部分での露光時間不足と、図示しないがステッパレ
ンズからレジスト膜47までの距離の違いによるフォー
カスずれのため、レジストパターン形状が悪化して、リ
ソグラフィ解像力を低下させる恐れがある。
【0012】次に、図45に示すように、レジスト膜4
7をマスクとして異方性エッチングを行い、下層配線4
2に到達するコンタクトホール48を形成する。レジス
トパターン形状が精確でないので、コンタクトホール4
8は、下層配線42との接続面積がかなり減少したもの
になってしまう。さらにこれに加えて、配線溝やコンタ
クトホールを形成するためのレジスト膜のパターンが位
置合わせずれを起こしてしまった場合には、コンタクト
ホールは、上層配線との接続面積が著しく減少したもの
になってしまう。
【0013】さらに、他の問題を以下に説明する。図4
6(a),(b)はそれぞれ配線溝46とコンタクトホ
ール48との関係を示す第1の平面図である。この図は
コンタクトホール48の1辺の長さと、配線溝46に形
成される配線の幅は実質的に同じとなる構成である。正
常な図46(a)に対し、図46(b)はリソグラフィ
時の合わせずれが生じている。図46(b)では、コン
タクトプラグと配線の接触面積が、設計パターン(図4
6(a))より減少することになる。
【0014】上記構成によれば、このリソグラフィ工程
での合わせずれによる上層の配線とコンタクトプラグの
接触面積の減少が原因となって、半導体装置の回路動作
における抵抗増加が生じ、これに起因した半導体装置の
性能劣化、電流密度増大に伴う信頼性の劣化が問題とな
ってくる。
【0015】図47(a),(b)はそれぞれ配線溝4
6とコンタクトホール48との関係を示す第2の平面図
である。配線溝46に形成される配線の幅よりコンタク
トプラグの辺の長さが大きくなるようにコンタクトホー
ル48が形成される。正常な図47(a)に対し、図4
7(b)はリソグラフィ時の合わせずれが生じている。
しかし、コンタクトホールの幅に余裕を持たせるので、
リソグラフィ時、ある程度の位置合わせずれが生じても
コンタクトプラグと配線の接触面積は設計パターンどお
りにすることができる。
【0016】しかしながら、図47(a),(b)は構
造上、並行した配線上に対向するようなコンタクトプラ
グを存在させる場合、リソグラフィ解像力の制約から配
線の間隔を拡大せざるを得ない。これについて、以下、
図48及び図49を参照しながら説明する。
【0017】理想的には、図46(a)や(b)の構成
は、図48に示すように、配線溝(またはコンタクトホ
ール)におけるリソグラフィ工程の解像限界スペースL
1 で形成可能である。しかし、実際には、合わせずれに
対処可能な図47(a)や(b)の構成を採用すること
になり、この場合、図49に示すように、リソグラフィ
工程での合わせずれを考慮して、コンタクトプラグの辺
長が配線幅より大きくなるように設計したことにより、
配線溝の間のスペースは、解像限界スペースL1 より大
きなスペースL2 とならざるを得ない。この結果、半導
体装置の集積度を低下させる。
【0018】
【発明が解決しようとする課題】従来、層間絶縁膜中に
配線用の溝とその下層の配線に接続するためのコンタク
トホールを形成する場合、全ての絶縁膜を堆積してか
ら、溝形成のためのレジストパターニングと、コンタク
トホール形成のためのレジストパターニングをそれぞれ
行うのが一般的である。従って、溝の段差がある表面に
フォトレジスト膜を塗布するため、レジスト膜厚が不均
一になり、フォトリソグラフィ工程において、レジスト
パターンの精度が劣化する問題がある。
【0019】さらに、マスク合わせずれが生じた場合
に、コンタクトプラグと上層配線の接触面積が減少する
問題が生じる。さらに、コンタクトホールの底部と側
壁、配線溝の底部と側壁がほぼ直角になり、埋め込む導
電部材のカバレージが悪くなるため、配線寿命の劣化を
招く。
【0020】フォトリソグラフィ工程におけるマスク合
わせずれに対処するために、プラグを配線幅よりも大き
く設計すると、並行する配線に対向してプラグを設ける
場合、リソグラフィ解像力の制約から、配線の間隔は大
きくしなければならず、集積度の低下を招くという問題
が生じる。
【0021】この発明は上記のような事情を考慮してな
されたものであり、その目的は、半導体集積回路の高集
積化により配線幅や配線間隔が狭くなっても、導電部材
をコンタクトホール内または配線を形成するための溝内
に完全に満たすことができ、高精度、高集積化、高歩留
り、低コストに対応できる多層配線の次世代の構造を実
現できる半導体装置及びその製造方法を提供することで
ある。
【0022】さらに詳細には、第1の目的として、コン
タクトホールと配線溝の底部と側壁を鈍角に接触させる
ことによって埋め込み用の導電部材のカバレージを向上
させる半導体装置の製造方法を提供する。第2の目的と
して、リソグラフィ工程の合わせずれによるコンタクト
プラグの接触面積の減少を最小限に抑え、性能の劣化を
防ぎ、集積化に寄与する半導体装置の製造方法を提供す
る。第3の目的として、段差の極めて小さい面上でリソ
グラフィパターニングし、高精度のレジストパターンを
実現し、集積化に寄与する半導体装置の製造方法を提供
する。
【0023】
【課題を解決するための手段】この発明の主な目的を達
成するため、本発明の半導体装置は、半導体基板と、前
記半導体基板上に形成される第1ストッパ膜と、前記第
1ストッパ膜上に形成される第1絶縁膜と、前記第1絶
縁膜上に形成される第2ストッパ膜と、前記第2ストッ
パ膜上に形成される第2絶縁膜と、前記第2ストッパ膜
と前記第2絶縁膜に設けられた溝内、及び前記第1スト
ッパ膜と前記第1絶縁膜に設けられ、前記溝の底部から
前記半導体基板まで達するコンタクトホール内に満たさ
れる導電部材とを具備し、前記コンタクトホールの底面
と側面の角部における前記第1ストッパ膜は、前記コン
タクトホールの底面または側面と前記第1ストッパ膜の
表面とが鈍角に交わるようにテーパ形状を有し、かつ、
前記溝の底面と側面の角部における前記第2ストッパ膜
は、前記溝の底面または側面と前記第2ストッパ膜の表
面とが鈍角に交わるようにテーパ形状を有していること
を特徴とする。
【0024】また、本発明の半導体装置は、半導体基板
と、前記半導体基板上に形成される配線と、前記配線上
に形成される第1ストッパ膜と、前記第1ストッパ膜上
に形成される第1絶縁膜と、前記第1絶縁膜上に形成さ
れる第2ストッパ膜と、前記第2ストッパ膜上に形成さ
れる第2絶縁膜と、前記第2ストッパ膜と前記第2絶縁
膜に設けられた溝内、及び前記第1ストッパ膜と前記第
1絶縁膜に設けられ、前記溝の底部から前記配線まで達
するコンタクトホール内に満たされる導電部材とを具備
し、前記コンタクトホールの底面と側面の角部における
前記第1ストッパ膜は、前記コンタクトホールの底面ま
たは側面と前記第1ストッパ膜の表面とが鈍角に交わる
ようにテーパ形状を有し、かつ、前記溝の底面と側面の
角部における前記第2ストッパ膜は、前記溝の底面また
は側面と前記第2ストッパ膜の表面とが鈍角に交わるよ
うにテーパ形状を有していることを特徴とする。
【0025】本発明の半導体装置の製造方法は、半導体
基板上にストッパ膜を形成する工程と、前記ストッパ膜
上に絶縁膜を形成する工程と、前記絶縁膜をエッチング
し、前記絶縁膜の表面から前記半導体基板まで達するコ
ンタクトホールを形成する工程と、前記コンタクトホー
ルの底部の前記ストッパ膜を、エッチング中に側壁保護
膜が堆積するような条件の下で行う反応性イオンエッチ
ングにより除去し、前記コンタクトホールの底面と側面
の角部にテーパ形状を有する前記ストッパ膜を残存させ
る工程と、前記コンタクトホール内に導電部材を満たす
工程とを具備することを特徴とする。
【0026】また、本発明の半導体装置の製造方法は、
半導体基板上に第1絶縁膜を形成する工程と、前記第1
絶縁膜上にストッパ膜を形成する工程と、前記ストッパ
膜上に第2絶縁膜を形成する工程と、前記第2絶縁膜を
エッチングし、前記第2絶縁膜の表面から前記第1絶縁
膜の表面まで達する溝を形成する工程と、前記溝の底部
の前記ストッパ膜を、エッチング中に側壁保護膜が堆積
するような条件の下で行う反応性イオンエッチングによ
り除去し、前記溝の底面と側面の角部にテーパ形状を有
する前記ストッパ膜を残存させる工程と、前記溝内に導
電部材を満たす工程とを具備することを特徴とする。
【0027】さらに詳細な上記第1の目的を達成するた
め、層間絶縁膜を隔てて設けられた第1の導電領域とそ
の上層の第2の導電領域の一部分どうしを電気的に接続
する埋め込み電極を有する半導体装置の製造方法におい
て、前記層間絶縁膜の一部である第1の絶縁膜を形成す
る工程と、前記第1の絶縁膜上にこの第1の絶縁膜とエ
ッチング選択比の異なる絶縁性の保護膜を形成する工程
と、前記保護膜に対して予め前記埋め込み電極の形成領
域を含んだ開孔領域を形成する工程と、前記保護膜及び
開孔領域を覆う前記層間絶縁膜の一部である第2の絶縁
膜を形成する工程と、前記保護膜が底部となる前記第2
の導電領域用の溝を形成すると共にこの溝形成時におい
て重なる前記保護膜の開孔領域の部分を介して前記第1
の導電領域に到達するコンタクトホールを形成する、前
記第1の絶縁膜に対するエッチング工程と、前記コンタ
クトホール及び溝に導電部材を同時に埋め込む工程とを
具備したことを特徴とする。上記第2の目的を達成する
ため、特に、上記保護膜に対する開孔領域は前記溝のエ
ッチング幅より大きい寸法で形成することを特徴とす
る。
【0028】
【発明の実施の形態】図1は本発明の第1の実施の形態
に係る半導体装置を示す断面図である。シリコン基板1
1上には、フィールド酸化膜12が形成されている。こ
のフィールド酸化膜12に取り囲まれた素子領域には、
例えばMOSトランジスタが形成されている。図におい
て、MOSトランジスタのゲート電極21はフィールド
酸化膜12上に延在している部分を示す。また、ゲート
電極21やソース・ドレイン領域22a,22bには電
気的接触のために導電部材が接続されている。
【0029】シリコン基板11上の全面には、約0.2
μmの厚さを有するストッパ膜(例えば、SiN、Si
ON、不純物を含むSiO2 など)13aが形成されて
いる。ストッパ膜13a上には、約1.2μmの厚さを
有する絶縁膜13bが形成されている。ストッパ膜13
aは、エッチングに対する保護膜であり、絶縁膜13b
に対して、RIE(反応性イオンエッチング)の選択比
が大きいもの(13aのエッチングレート<13bのエ
ッチングレート)を用いることが必要である。また、絶
縁膜13bの表面は、平坦化されている。
【0030】絶縁膜13上には、約0.2μmの厚さを
有するストッパ膜(例えば、SiN、SiON、不純物
を含むSiO2 など)14aが形成されている。このス
トッパ膜14a上には、約1.5μmの厚さを有する絶
縁膜14bが形成されている。なお、ストッパ膜14a
は、エッチングに対する保護膜であり、絶縁膜14bに
対して、RIE(反応性イオンエッチング)の選択比が
大きいもの(14aのエッチングレート<14bのエッ
チングレート)を用いることが必要である。また、絶縁
膜14bの表面は、平坦化されている。
【0031】絶縁膜14b及びストッパ膜14aには、
配線を形成するための溝31が形成されている。この溝
31の底面と側面の角部におけるストッパ膜14aは、
テーパ形状を有し、溝31の底面と側面の直角部分をな
くしている。すなわち、溝31の底面または側面とスト
ッパ膜14aのテーパ面とは、鈍角で交わるように構成
されている。
【0032】絶縁膜13b及びストッパ膜13aには、
配線を形成するための溝31の底面からMOSトランジ
スタのゲート電極21またはソース・ドレイン領域22
a,22bに達するコンタクトホール32が形成されて
いる。
【0033】コンタクトホール32の底面と側面の角部
におけるストッパ膜13aは、テーパ形状を有し、コン
タクトホール32の底面と側面の直角部分をなくしてい
る。すなわち、コンタクトホール32の底面または側面
とストッパ膜13aのテーパ面とは、鈍角で交わるよう
に構成されている。
【0034】溝31の内面及びコンタクトホール32の
内面には、約0.1μmの厚さを有する配線の下地膜
(例えば、Ti、Co、W、SiNなど)16aが形成
されている。この下地膜16aは、溝31の内面(スト
ッパ膜14aのテーパ面を含む)及びコンタクトホール
32の内面(ストッパ膜13aのテーパ面を含む)に良
好なカバレージで形成されている。
【0035】下地膜16a上には、溝31またはコンタ
クトホール32を完全に満たす導電部材(例えば、Al
−Cu−Si、Al−Cu、Cuなど)16bが形成さ
れている。なお、下地膜16aと導電部材16bにより
配線及びコンタクトプラグが構成されている。絶縁膜1
4b上及び導電部材16b上には、配線を保護するため
の絶縁膜19が形成されている。
【0036】上記構成の半導体装置によれば、上層の配
線と、下層へのコンタクトプラグが、一体化され同時工
程で形成できるため、製造コストが低くなる利点があ
る。また、溝の角部及びコンタクトホールの角部におけ
るストッパ膜13a,14aは、テーパ形状を有してい
る。すなわち、溝31またはコンタクトホール32の底
面または側面とストッパ膜13aのテーパ面とは、鈍角
で交わるように構成されている。
【0037】従って、配線の下地膜16aを、溝31の
内面(ストッパ膜14aのテーパ面を含む)及びコンタ
クトホール32の内面(ストッパ膜13aのテーパ面を
含む)に良好なカバレージで形成することができる。
【0038】図2は本発明の第2の実施の形態に係る半
導体装置を示す断面図である。図1と同様の箇所の断面
を示すものであり、シリコン基板11上には、フィール
ド酸化膜12が形成されている。このフィールド酸化膜
12に取り囲まれた素子領域には、例えばMOSトラン
ジスタが形成されている。
【0039】シリコン基板11上の全面には、約0.2
μmの厚さを有するストッパ膜(例えば、SiN、Si
ON、不純物を含むSiO2 など)13aが形成されて
いる。ストッパ膜13a上には、約1.2μmの厚さを
有する絶縁膜13bが形成されている。ストッパ膜13
aは、絶縁膜13bに対して、RIE(反応性イオンエ
ッチング)の選択比が大きいもの(13aのエッチング
レート<13bのエッチングレート)を用いることが必
要である。また、絶縁膜13bの表面は、平坦化されて
いる。
【0040】絶縁膜13上には、約0.2μmの厚さを
有するストッパ膜(例えば、SiN、SiON、不純物
を含むSiO2 など)14aが形成されている。このス
トッパ膜14a上には、約1.5μmの厚さを有する絶
縁膜14bが形成されている。なお、ストッパ膜14a
は、絶縁膜14bに対して、RIE(反応性イオンエッ
チング)の選択比が大きいもの(14aのエッチングレ
ート<14bのエッチングレート)を用いることが必要
である。また、絶縁膜14bの表面は、平坦化されてい
る。
【0041】絶縁膜14b及びストッパ膜14aには、
配線を形成するための溝31が形成されている。この溝
31の底面と側面の角部におけるストッパ膜14aは、
テーパ形状を有し、溝31の底面と側面の角部をなくし
ている。すなわち、溝31の底面または側面とストッパ
膜14aのテーパ面とは、鈍角で交わるように構成され
ている。
【0042】絶縁膜13b及びストッパ膜13aには、
配線を形成するための溝31の底面からMOSトランジ
スタのゲート電極21またはソース・ドレイン領域22
aに達するコンタクトホール32が形成されている。
【0043】コンタクトホール32の底面と側面の角部
におけるストッパ膜13aは、テーパ形状を有し、コン
タクトホール32の底面と側面の直角部分をなくしてい
る。すなわち、コンタクトホール32の底面または側面
とストッパ膜13aのテーパ面とは、鈍角で交わるよう
に構成されている。
【0044】絶縁膜13に設けられたコンタクトホール
32内には、このコンタクトホール32を完全に満たす
導電部材(例えば、Wなど)16cが形成されている。
導電部材16c直下のソース・ドレイン領域22a,2
2bには、シリサイド層(例えば、チタンシリサイド)
25が形成されている。
【0045】絶縁膜13に設けられた溝31の内面及び
コンタクトホール32の内面には、約0.1μmの厚さ
を有する配線の下地膜(例えば、Ti、Co、W、Si
Nなど)16aが形成されている。この下地膜16a
は、溝31の内面(ストッパ膜14aのテーパ面を含
む)及びコンタクトホール32の内面に、良好なカバレ
ージで形成されている。
【0046】下地膜16a上には、溝31またはコンタ
クトホール32を完全に満たす導電部材(例えば、Al
−Cu−Si、Al−Cu、Cuなど)16bが形成さ
れている。なお、下地膜16aと導電部材16bにより
配線及びコンタクトプラグが構成されている。絶縁膜1
4b上及び導電部材16b上には、配線を保護するため
の絶縁膜19が形成されている。
【0047】上記構成の半導体装置によれば、溝の角部
及びコンタクトホールの角部におけるストッパ膜13
a,14aは、テーパ形状を有している。すなわち、溝
31またはコンタクトホール32の底面または側面とス
トッパ膜13aのテーパ面とは、鈍角で交わるように構
成されている。
【0048】従って、配線の下地膜16aを、溝31の
内面(ストッパ膜14aのテーパ面を含む)に、良好な
カバレージで形成することができ、導電部材16b,1
6cを溝31内及びコンタクトホール32内に完全に満
たすことができる。
【0049】図3は本発明の第3の実施の形態に係る半
導体装置を示す断面図である。この半導体装置は、図1
の半導体装置の変形例である。すなわち、この実施の形
態では、フィールド酸化膜12に代えて、シリコン基板
11内に埋め込まれた埋め込み酸化膜121を用いてい
る点が、第1の実施の形態における半導体装置と相違し
ている。その他の構成は、第1の実施の形態における半
導体装置の構成と同じである。このような構成において
も、第1の実施の形態の半導体装置と同様の効果が得ら
れる。
【0050】図4は本発明の第4の実施の形態に係る半
導体装置を示す断面図である。この半導体装置は、図2
の半導体装置の変形例である。すなわち、この実施の形
態では、フィールド酸化膜12に代えて、シリコン基板
11内に埋め込まれた埋め込み酸化膜121を用いてい
る点が、第2の実施の形態における半導体装置と相違し
ている。その他の構成は、第2の実施の形態における半
導体装置の構成と同じである。このような構成において
も、第2の実施の形態の半導体装置と同様の効果が得ら
れる。
【0051】次に、本発明の半導体装置の製造方法の第
1の実施の形態について説明する。なお、この実施の形
態では、上述の第1の実施の形態に係る半導体装置を例
として説明することにする。
【0052】まず、図5に示すように、LOCOS法を
用いて、シリコン基板11上にフィールド酸化膜12を
形成する。フィールド酸化膜12に取り囲まれた素子領
域に、例えばMOSトランジスタを形成する。なお、2
1は、MOSトランジスタのゲート電極の配線であっ
て、フィールド酸化膜12上に延在している部分を示
す。22a,22bは、MOSトランジスタのソース・
ドレイン領域である。
【0053】次に、図6に示すように、プラズマCVD
法を用いて、シリコン基板11上の全面に、ストッパ膜
(例えば、SiN、SiON、不純物を含むSiO2
ど)13aを約0.2μmの膜厚で形成する。次に、プ
ラズマCVD法を用いて、ストッパ膜13a上に、例え
ば、SiO2 の絶縁膜13bを約1.2μmの膜厚で形
成する。この後、CMP(化学的機械研磨)法及びレジ
ストエッチバック法などの平坦化技術を用いることによ
り、絶縁膜13bの表面(上面)を平坦化する。
【0054】なお、ストッパ膜13aは、絶縁膜13b
に対して、RIE(反応性イオンエッチング)の選択比
が大きいもの(13aのエッチングレート<13bのエ
ッチングレート)を用いることが必要である。また、絶
縁膜13bの平坦化工程は、絶縁膜13bの厚さが十分
に厚い場合には、必ずしも必要ではない。
【0055】次に、図7に示すように、プラズマCVD
法を用いて、絶縁膜13b上に、ストッパ膜(例えば、
SiN、SiON、不純物を含むSiO2 など)14a
を約0.2μmの膜厚で形成する。そして、リソグラフ
ィ技術とRIE(反応性イオンエッチング)技術を用
い、コンタクトホール36をストッパ膜14aに形成す
る。
【0056】次に、図8に示すように、プラズマCVD
法を用いて、ストッパ膜14a上に、例えば、SiO2
の絶縁膜14bを約1.5μmの膜厚で形成する。この
後、CMP法及びレジストエッチバック法などの平坦化
技術を用いて、絶縁膜14bの表面(上面)を平坦にす
る。
【0057】なお、ストッパ膜14aは、絶縁膜14b
に対して、RIE(反応性イオンエッチング)の選択比
が大きいもの(14aのエッチングレート<14bのエ
ッチングレート)を用いることが必要である。また、絶
縁膜14bの平坦化工程は、絶縁膜14bの厚さが十分
に厚い場合には、必ずしも必要ではない。
【0058】次に、図9に示すように、絶縁膜14b上
にレジスト膜35を形成し、リソグラフィ技術を用いて
このレジスト膜35をパターニングすることにより、レ
ジスト膜35に配線パターンを形成する。この後、RI
E法を用いて、絶縁膜14bと絶縁膜13bを同時にエ
ッチングする。その結果、絶縁膜14bには、配線パタ
ーンと同じパターンを有する溝31が形成され、絶縁膜
13bには、コンタクトプラグを形成するためのコンタ
クトホール32が形成される。
【0059】この時、ストッパ膜13a,14aは、絶
縁膜13b,14bに対して、RIE(反応性イオンエ
ッチング)の選択比が大きい(13aのエッチングレー
ト<13bのエッチングレート、14aのエッチングレ
ート<14bのエッチングレート)。すなわち、ストッ
パ膜13a,14aは、RIEのストッパとなると共
に、ストッパ膜14aは、コンタクトホール32を形成
するためのエッチングマスクとなる。
【0060】従って、配線を形成するための溝31と、
上下配線(または基板と配線)を接続するコンタクトプ
ラグを形成するためのコンタクトホール32を同時に形
成することができる。すなわち、コンタクトホール32
を自己整合的に形成することができるため、製造コスト
を低減することが可能である。
【0061】また、レジスト膜35は、表面が平坦な絶
縁膜14b上に形成されるため、精確な配線パターン
(溝)を絶縁膜14bに形成することができる。すなわ
ち、設計どおりの所定の寸法に溝31及びコンタクトホ
ール32を形成することができ、素子の微細化に十分に
対応することができる。
【0062】次に、図10に示すように、RIE法によ
り、溝31の底部及びコンタクトホール32の底部のス
トッパ膜13a,14aを除去する。このとき、エッチ
ング中に側壁保護膜が形成される条件の下でRIEを行
う。なお、エッチング中に側壁保護膜が形成される条件
としては、例えば、弗化炭素(CFx )ガス雰囲気中で
RIEを行うことが挙げられる。
【0063】この結果、溝31の底面と側面の角部にお
けるストッパ膜14aの形状は、テーパ状となる。すな
わち、ストッパ膜14aのテーパ面と、溝31の底面ま
たは側面は、鈍角に交わるようになる。同様に、コンタ
クトホール32の底面と側面の角部におけるストッパ膜
13aの形状も、テーパ状となる。すなわち、ストッパ
膜13aのテーパ面と、コンタクトホール32の底面ま
たは側面は、鈍角に交わるようになる。この後、レジス
ト膜35を剥離する。
【0064】次に、図11に示すように、スパッタ法や
CVD法を用いて、配線及びコンタクトプラグの下地膜
(例えば、Ti、Co、W,SiNなど)16aを約
0.1μmの膜厚で形成する。このとき、溝31及びコ
ンタクトホール32の角部のストッパ膜13a,14a
の形状は、テーパ状であるため、下地膜16aは、溝3
1の内面及びコンタクトホール32の内面に良好なカバ
レージで被着する。次に、スパッタ法やCVD法を用い
て、下地膜16a上に導電部材(例えば、Al−Cu−
Si、Al−Cu、Cuなど)16bを約2.0μmの
膜厚で形成する。次に、CMP(化学的機械研磨)法を
用いて、余分な導電部材16bを除去し、溝31内及び
コンタクトホール32内にのみ導電部材16bを残存さ
せる。これにより、配線及びコンタクトプラグが同時工
程にて形成される。この後、プラズマCVD法を用い
て、配線保護膜(例えば、SiO2 など)を約0.3μ
mの膜厚で形成する。
【0065】上記製造方法によれば、レジスト膜35を
マスクにして、配線が形成される溝31を形成すると共
に、このレジスト膜35及びストッパ膜14aをマスク
にして、溝31に対して自己整合的にコンタクトプラグ
が形成されるコンタクトホール32を形成している。従
って、配線パターン(溝)とコンタクトプラグパターン
(コンタクトホール)を同時に形成でき、工程の簡略化
による製造コストの低減に貢献することができる。
【0066】また、溝31の角部及びコンタクトホール
32の角部におけるストッパ膜13a,14aをテーパ
形状に可能している。すなわち、溝31またはコンタク
トホール32の底面または側面とストッパ膜13aのテ
ーパ面とは、鈍角で交わるようにしている。
【0067】従って、配線の下地膜16aを、溝31の
内面(ストッパ膜14aのテーパ面を含む)及びコンタ
クトホール32の内面(ストッパ膜13aのテーパ面を
含む)に、良好なカバレージで形成することができる。
この結果、導電部材16b,16cを溝31内及びコン
タクトホール32内に完全に満たすことができる。
【0068】次に、本発明の半導体装置の製造方法の第
2の実施の形態について説明する。なお、この実施の形
態では、上述の第2の実施の形態に係る半導体装置を例
として説明することにする。まず、上述の第1の実施の
形態における製造方法と同様の方法により、溝31及び
コンタクトホール32を形成するまでを行う。すなわ
ち、図5〜図10までの工程は上述と同様である。
【0069】その後、図12に示すように、スパッタ法
やCVD法を用いて、少なくともコンタクトホール32
内に高融点金属膜(例えば、Ti、Co、Wなど)を形
成する。次に、例えば温度約600℃、時間約30分程
度のアニール処理を行い、コンタクトホール32の底部
のゲート電極21及びソース・ドレイン領域22a,2
2bにシリサイド層25を形成する。この後、例えば、
2 SO4 とH2 2の混合液を用いて、シリコン基板
11と反応しないで残存した高融点金属膜を完全に除去
する。
【0070】次に、プラズマCVD法を用いて、導電部
材(例えば、タングステン)16cをコンタクトホール
32内のみに選択的に形成する。その結果、コンタクト
ホール32内には、コンタクトプラグが形成される。な
お、この実施の形態では、導電部材16cの下地は、形
成しなくてもよい。
【0071】次に、スパッタ法やCVD法を用いて、配
線及びコンタクトプラグの下地膜(例えば、Ti、C
o、W、SiNなど)16aを約0.1μmの膜厚で形
成する。このとき、溝31の角部のストッパ膜14aの
形状は、テーパ状であるため、下地膜16aは、溝31
の内面に良好なカバレージで被着する。次に、スパッタ
法やCVD法を用いて、下地膜16a上に導電部材(例
えば、Al−Cu−Si、Al−Cu、Cuなど)16
bを約2.0μmの膜厚で形成する。次に、CMP(化
学的機械研磨)法を用いて、余分な導電部材16bを除
去し、溝31内及びコンタクトホール32内にのみ導電
部材16bを残存させる。その結果、配線及びコンタク
トプラグが同時に形成される。この後、プラズマCVD
法を用いて、配線保護膜(例えば、SiO2 など)を約
0.3μmの膜厚で形成する。
【0072】上記製造方法によれば、レジスト膜35を
マスクにして、配線が形成される溝31を形成すると共
に、このレジスト膜35及びストッパ膜14aをマスク
にして、溝31に対して自己整合的にコンタクトプラグ
が形成されるコンタクトホール32を形成している。従
って、配線パターン(溝)とコンタクトプラグパターン
(コンタクトホール)を同時に形成でき、工程の簡略化
による製造コストの低減に貢献することができる。
【0073】また、溝31の角部及びコンタクトホール
32の角部におけるストッパ膜13a,14aをテーパ
形状に加工している。すなわち、溝31またはコンタク
トホール32の底面または側面とストッパ膜13aのテ
ーパ面とは、鈍角で交わるようにしている。
【0074】従って、配線の下地膜16aを、溝31の
内面(ストッパ膜14aのテーパ面を含む)に、均一な
膜厚かつ良好なカバレージで形成することができ、導電
部材16b,16cを溝31内及びコンタクトホール3
2内に完全に満たすことができる。
【0075】次に、本発明の半導体装置の製造方法の第
3の実施の形態について説明する。図13〜図16は、
上記第3の実施の形態に係るコンタクトホール形成の工
程を順に示す断面投影図である。
【0076】図13に示すように、半導体基板上に絶縁
膜41、下層配線42が形成されている。この下層配線
42を含む絶縁膜41上に絶縁膜43、ストッパ膜44
を順次積層する。ストッパ膜44は、後で行われる配線
溝のエッチングに対する絶縁性の保護膜である。
【0077】上記ストッパ膜44に対し、フォトリソグ
ラフィ工程、エッチング工程によって、後で形成するコ
ンタクトホール(コンタクトプラグ形成用としての)領
域を含んだ開孔領域51を予め形成する。この開孔領域
51は、後で形成する上層配線用の溝のエッチング幅よ
り大きい寸法で形成する。
【0078】次に、図14に示すように、ストッパ膜4
4及び開孔領域51を覆うように絶縁膜45を形成す
る。次に、絶縁膜45の所定領域、すなわち、下層配線
42上方を含む領域に上層配線用の溝を形成するための
レジスト膜47を形成する。
【0079】レジスト膜47はフォトリソグラフィ工程
によりパターニングされる。このとき、ストッパ膜44
は絶縁膜45に比べて非常に薄く、絶縁膜45は厚く形
成されているので、開孔領域51の段差の影響はほとん
どなく、絶縁膜45の平坦性は損なわれない。従って、
レジスト膜47は、ほぼ平坦な絶縁膜45上に均一な厚
さで形成され、解像度の低下を招くことなく、精確なパ
ターニングが実現される。
【0080】次に、図15に示すように、レジスト膜4
7をマスクに絶縁膜45をRIE法(反応性イオンエッ
チング)によりエッチングする。エッチングの進行はス
トッパ膜44により阻止される。従って、ストッパ膜4
4が露出する配線用の溝46が形成される。さらに溝4
6には、ストッパ膜44の開孔領域51と重なる領域が
あり、この溝46と共有した開孔領域51の部分を介し
てのみ、さらにRIEが進む。これにより、溝46の形
成と共に、下層配線42に到達するコンタクトホール4
8を形成する。
【0081】次に、図16に示すように、上記溝46及
びコンタクトホール48に導電部材(例えば、Al−C
u、Al−Cu−Si合金など)49を同時工程で埋め
込む。次に、CMP法を用いて、余分な導電部材49を
除去し、溝46内及びコンタクトホール48内にのみ導
電部材49を残存させる。これにより、コンタクトホー
ル48内のコンタクトプラグ491、溝46に埋め込ま
れた上層配線492が形成される。
【0082】このような製造方法によれば、上層の配線
492と、下層配線42へのコンタクトプラグが491
が一体化され同時工程で形成できるため、製造コストが
低くなる利点がある。
【0083】上述の工程中におけるストッパ膜44は、
絶縁膜43,45に対して、RIE(反応性イオンエッ
チング)の選択比が大きいものを用いる。例えば、絶縁
膜43,45はシリコン酸化膜(F(フッ素)、C(炭
素)などを含有する場合もある)、ストッパ膜44はシ
リコン窒化膜などである。
【0084】さらに、この実施の形態では、第1にコン
タクトホール48は溝46と共有した開孔領域51の部
分を介して溝46に対して自己整合的に形成される。第
2に、ストッパ膜44の開孔領域51の寸法を配線の幅
方向において大きくし、上下層の配線に対して合わせず
れ余裕を持つことが可能である。第3に、レジスト膜4
7は均一な厚さで形成されパターニングの精度は良好で
あることから、解像度を劣化させない。以上のことか
ら、微細な配線層間のコンタクトプラグの接触面積が、
位置合わせずれ等で小さくならないように十分配慮した
製造工程を実現している。
【0085】次に、本発明の半導体装置の製造方法の第
4の実施の形態について説明する。図17〜図21は、
上記第4の実施の形態係るコンタクトホール形成の工程
を順に示す断面投影図である。前記第3の実施例と異な
る点は、ストッパ膜を、さらに下層配線42上にも設け
る構成となっている。
【0086】図17に示すように、半導体基板上に絶縁
膜41、下層配線42が形成されている。この下層配線
42を含む絶縁膜41上にストッパ膜54、絶縁膜4
3、ストッパ膜44を順次積層する。ストッパ膜44と
54は、後で行われる配線溝のエッチングとコンタクト
ホールのエッチングに対する絶縁性の保護膜である。ス
トッパ膜44と54は、同等の性質を有する。
【0087】上記ストッパ膜44に対し、フォトリソグ
ラフィ工程、エッチング工程によって、予めコンタクト
ホール(コンタクトプラグ形成用としての)の領域を含
んだ開孔領域51を形成する。この開孔領域51は、後
で形成する上層配線用の溝のエッチング幅より大きい寸
法で形成する。
【0088】次に、図18に示すように、ストッパ膜4
4及び開孔領域51を覆う絶縁膜45を形成する。次
に、絶縁膜45の所定領域、すなわち、下層配線42上
方を含む領域に上層配線用の溝を形成するためのレジス
ト膜47を形成する。
【0089】レジスト膜47はフォトリソグラフィ工程
によりパターニングされる。このとき、ストッパ膜44
は絶縁膜45に比べて非常に薄く、絶縁膜45は厚く形
成されているので、開孔領域51の段差の影響はほとん
どなく、絶縁膜45の平坦性は損なわれない。従って、
レジスト膜47は、ほぼ平坦な絶縁膜45上に均一な厚
さで形成され、解像度の低下を招くことなく、精確なパ
ターニングが実現される。
【0090】次に、図19に示すように、レジスト膜4
7をマスクに絶縁膜45をRIE法によりエッチングす
る。エッチングの進行はストッパ膜44により阻止され
る。従って、ストッパ膜44が露出する配線用の溝46
が形成される。さらに溝46にはストッパ膜44の開孔
領域51と重なる領域があり、この溝46と共有した開
孔領域51の部分を介してのみ、さらにRIEが進む。
これにより、溝46の形成と共に、ストッパ膜54に達
するコンタクトホール48を形成する。
【0091】次に、図20に示すように、上記溝46及
びコンタクトホール48底部に露出しているストッパ膜
44及び54を同時にエッチング除去し、コンタクトホ
ール48底部に下層配線42を露出させる。
【0092】次に、図21に示すように、溝46及びコ
ンタクトホール48に導電部材(例えば、Al−Cu、
Al−Cu−Si合金など)49を同時工程で埋め込
む。次に、CMP法を用いて、余分な導電部材49を除
去し、溝46内及びコンタクトホール48内にのみ導電
部材49を残存させる。これにより、コンタクトホール
48内のコンタクトプラグ491、溝46に埋め込まれ
た上層配線492が形成される。
【0093】上述の工程中におけるストッパ膜54,4
4は、絶縁膜43,45に対して、RIE(反応性イオ
ンエッチング)の選択比が大きいものを用いる。例え
ば、絶縁膜43,45はシリコン酸化膜(F(フッ
素)、C(炭素)などを含有する場合もある)、ストッ
パ膜54,44はシリコン窒化膜などである。
【0094】上記第4の実施の形態の方法によれば、第
3の実施の形態と同様の効果が得られる。また、この第
4の実施の形態では、第3の実施の形態と比べてストッ
パ膜54がある分、エッチングの工程が1回増える。し
かし、コンタクトホール48のオーバーエッチングの危
険性は減ると考えられる。
【0095】すなわち、仮に、溝46の形成が位置ずれ
して、その結果、コンタクトホール48と位置合わせず
れが起きたとすると、第3の実施の形態では、図22の
ようにオーバーエッチングされる可能性がある(5
5)。しかし、第4の実施の形態では、ストッパ膜54
の除去が選択的なエッチング工程として存在するので、
図23のように、オーバーエッチングされない。第3の
実施の形態においては、コンタクトプラグの構造とし
て、図22のような形状になることを許容するならば、
コンタクトホール48の位置合わせずれが起きても下層
配線42と導電部材49の接触面積が減らずに済むとい
う利点も考えられる。
【0096】次に、本発明の半導体装置の製造方法の第
5の実施の形態について説明する。図24は並行して走
る2本の配線上に対向する2個のプラグを形成する場合
の配線用の溝及びコンタクトホールを示す平面図、図2
5は図24のF25−F25線に沿う断面投影図であ
る。ただし、図25は説明のため、溝46及びコンタク
トホール48底部に露出したストッパ膜を除去する前の
状態を示している。
【0097】図24,25において、図17〜図21と
同じ箇所は同一の符号を付している。注目すべきは、ス
トッパ膜44の開孔領域51が2本の配線用の溝46を
横切るように形成されている構成である(図24では破
線で示す)。この開孔領域51をここではスリット領域
51と呼ぶ。以下、このような構造を形成するための製
造方法について説明する。
【0098】図26〜図29はそれぞれ上記第5の実施
の形態に係るコンタクトホール及び埋め込み配線の形成
の工程を順に示す断面図である。図26に示すように、
絶縁膜41内の配線溝にAl合金(例えば、Al−Cu
−Si、Al−Cuなど)でなる下層配線42が2個形
成されている。これら下層配線42を含む絶縁膜41上
にストッパ膜54、絶縁膜43、ストッパ膜44を順次
積層する。ストッパ膜54,44は、後で行われる配線
溝のエッチングに対する絶縁性の保護膜である。
【0099】次に、図27に示すように、ストッパ膜4
4上にレジスト膜56を塗布し、リソグラフィ技術を用
いて、2本の各配線42において対向する2個のコンタ
クトホール(コンタクトプラグ形成用としての)の領域
を含んだスリット領域51のレジストパターニングを行
う。ここで、レジスト膜56は平坦なストッパ膜44上
に均一な厚さで塗布される。従って、このレジストパタ
ーニングにおいて広露光D.O.F.(Domain of Focu
s )と高解像性が実現される。
【0100】次に、図28に示すように、レジスト膜5
6をマスクにストッパ膜44のエッチングを行う。すな
わち、ストッパ膜44の方が絶縁膜43に比べてエッチ
ング速度が大幅に速い条件となるRIE(Reactive Ion
Etching)を用いる。これにより、スリット領域51を
形成する。その後、ストッパ膜44及びスリット領域5
1を覆う絶縁膜45を形成する。
【0101】次に、絶縁膜45の所定領域、すなわち、
下層配線42各々の上方を含む領域に上層配線用の溝を
形成するためのレジスト膜47をパターニングする。こ
のとき、ストッパ膜44は絶縁膜45に比べて非常に薄
く、絶縁膜45は厚く形成されるので、スリット領域5
1の段差の影響はほとんどなく、絶縁膜45の平坦性は
損なわれない。従って、レジスト膜47は、ほぼ平坦な
絶縁膜45上に均一な厚さで形成され、解像度の低下を
招くことなく、精確なパターニングが実現される。
【0102】次に、レジスト膜47をマスクに絶縁膜4
5をRIE法によりエッチングする。エッチングの進行
はスリット領域51を除いてストッパ膜44により阻止
される。従って、ストッパ膜44が露出する配線用の溝
46が形成される。さらに溝46にはストッパ膜44に
おけるスリット領域51と重なる領域があり、この溝4
6と共有したスリット領域51の部分を介してのみ、さ
らにRIEが進む。これにより、溝46の形成と共に、
ストッパ膜54に達するコンタクトホール48を形成す
る(図25参照)。その後、コンタクトホール48底部
に露出しているストッパ膜54が選択的に除去される条
件のエッチング工程を行い、コンタクトホール48底部
に下層配線42を露出させる。このとき、溝46底部に
露出しているストッパ膜44も同時にエッチングされる
ようにしてもよい。
【0103】次に、図29に示すように、溝46及びコ
ンタクトホール48に導電部材(例えば、Al−Cu、
Al−Cu−Si合金など)49を同時工程で埋め込
む。次に、CMP技術、RIE技術、CDE(Chemical
Dry Etching)技術などを用いて、余分な導電部材49
を除去し、溝46内及びコンタクトホール48内にのみ
導電部材49を残存させる。これにより、コンタクトホ
ール48内のコンタクトプラグ491、溝46に埋め込
まれた上層配線492が形成される。
【0104】上述の工程中におけるストッパ膜54,4
4は、絶縁膜43,45に対して、RIE(反応性イオ
ンエッチング)の選択比が大きいものを用いる。例え
ば、絶縁膜43,45はシリコン酸化膜(F(フッ
素)、C(炭素)などを含有する場合もある)、ストッ
パ膜54,44はシリコン窒化膜などである。 ここ
で、前記ストッパ膜44のエッチング速度をE3 、前記
絶縁膜43の厚さをT、この絶縁膜43のエッチング速
度をE2 とすると、ストッパ膜44の膜厚は、(E3 /
E2 )×Tで算出される値よりも大きくなければならな
い。
【0105】絶縁膜43,45、ストッパ膜54,44
はシリコン系の膜以外の材料を使用することも可能であ
り、例えば、有機系絶縁膜などが考えられる。絶縁膜4
3と45や、ストッパ膜54と44は同一の材料とする
必要性はないが、配線用の溝46及びコンタクトホール
48の形成のためのエッチング工程において、ストッパ
膜54と44のエッチング速度は、絶縁膜43と45の
エッチング速度より遅くなければならない。
【0106】上記第5の実施の形態によれば、第3の実
施の形態と同様の効果が得られると共に、スリット領域
51の構成から次のような特長が強調される。スリット
領域51は2本の配線を横切るように、位置合わせ余裕
を持って形成できる。レジスト膜47は、均一な厚さで
形成されパターニングの精度は良好であることから、解
像力が劣化しない。しかも対向するコンタクトホール4
8の間隔は、必然的に配線溝46の間隔と等しくなる。
これにより、並行する各配線に対向するコンタクトホー
ルを形成する場合でも、配線溝46の間隔を解像限界ス
ペースL1 で形成可能となる(図24参照)。この結
果、半導体装置の集積度を従来より向上させることがで
きる。
【0107】上記した第5の実施の形態の変形例とし
て、ストッパ膜54を形成しない構成を第6の実施の形
態として図30に示す。仮に、溝46の形成が位置ずれ
して、その結果、コンタクトホール48の位置合わせず
れが起きたとすると、図のようにオーバーエッチングさ
れる可能性がある(55)。このような形状になること
を許容するならば、コンタクトホール48の位置合わせ
ずれが起きても下層配線42と導電部材49の接触面積
が減らずに済む構成が実現できる。
【0108】次に、本発明の半導体装置の製造方法の第
7の実施の形態について説明する。配線の埋め込み材料
として、Al合金より抵抗率が低いCuを採用する。C
uはシリコン酸化膜中で非常に拡散速度が大きい材料な
ので、シリコン酸化膜中に配線を形成する場合には、C
uのシリコン酸化膜中への拡散を阻止するための拡散防
止膜が必要である。
【0109】図31は上記第7の実施の形態に係る断面
図である。下層配線62はCuで構成されるため、Cu
の周囲は拡散防止膜71で覆われる。この下層配線62
を含む絶縁膜41上に拡散防止膜72が形成される。こ
の拡散防止膜72上にはストッパ膜54、絶縁膜43、
ストッパ膜44(スリット領域51を有する)、絶縁膜
45が順次積層され、製造工程は前記第5の実施の形態
と同様である。
【0110】すなわち、配線溝46及びコンタクトホー
ル48を形成後、Cuの埋め込みを施す前に、CVD
(Chemical Vaper Deposition )技術、スパッタリング
技術などを用い、下地として、配線溝及びコンタクトホ
ール内壁面に拡散防止膜73を被覆する。その後、溝4
6及びコンタクトホール48に導電部材69(Cu)を
同時工程で埋め込む。
【0111】次に、CMP技術、RIE技術、CDE
(Chemical Dry Etching)技術などを用いて、余分な導
電部材69を除去し、溝46内及びコンタクトホール4
8内にのみ導電部材69を残存させる。これにより、コ
ンタクトホール48内のコンタクトプラグ691、溝4
6に埋め込まれた上層配線692が形成される。
【0112】次に、上層配線692を含む絶縁膜45上
に拡散防止膜74を被覆する。この図では拡散防止膜7
4上に、さらに上層の配線のためのストッパ膜64が形
成される。
【0113】上記拡散防止膜71,73は導電性であ
り、Ti系、W系、Co系の金属が考えられる。拡散防
止膜71,73の膜厚としては10nm〜100nmで
ある。上記拡散防止膜72,74は絶縁性であり、シリ
コン窒化膜、あるいはTiあるいはWの微結晶を含むシ
リコン窒化膜が考えられる。この微結晶とは、SiN中
にTi(あるいはW)が分離して存在する構成であり、
Cu原子をトラップする目的で導入される。
【0114】図31の構成では拡散防止膜とストッパ膜
をそれぞれ設けたが、エッチングストッパと拡散防止の
両方の機能を兼ね備える材料であれば、1つの膜で構成
してもよい。例えば、図31のストッパ膜54がシリコ
ン窒化膜ならば、拡散防止膜72を形成しないことが考
えられる。
【0115】次に、本発明の半導体装置の製造方法の第
8の実施の形態について説明する。上層の配線溝の形成
と共にコンタクトホールの形成を決めるストッパ膜(4
4)を、設計どうりのコンタクトホールの大きさに加工
しておく方法である。
【0116】図32〜図35は上記第8の実施の形態に
係るコンタクトホール及び埋め込み配線の形成の工程を
順に示す断面図である。基本的な製造工程は前記第5の
実施の形態と同様であり、同一の符号を付す。
【0117】図32に示すように、絶縁膜41内の配線
溝にAl合金でなる下層配線42が2個形成されてい
る。この下層配線42を含む絶縁膜41上にストッパ膜
54、絶縁膜43、ストッパ膜44を順次積層する。ス
トッパ膜54,44は、後で行われる配線溝のエッチン
グに対する絶縁性の保護膜である。
【0118】次に、図33に示すように、ストッパ膜4
4上にレジスト膜56を塗布し、リソグラフィ技術を用
いて、2本の各配線42において対向する2個のコンタ
クトホール(コンタクトプラグ形成用としての)の領域
のレジストパターニングを行う。ここで、レジスト膜5
6は平坦なストッパ膜44上に均一な厚さで塗布される
ので、レジストパターニングにおいて広露光D.O.
F.(Domain of Focus)と高解像性が実現される。
【0119】次に、図34に示すように、レジスト膜5
6をマスクにストッパ膜44のエッチングを行う。これ
により、下層配線42各々の上方にコンタクト領域81
を形成する。その後、ストッパ膜44及びコンタクト領
域81を覆う絶縁膜45を形成する。
【0120】次に、絶縁膜45の所定領域、すなわち、
コンタクト領域81を通る上層配線用の溝を形成するた
めのレジスト膜47をパターニングする。このとき、ス
トッパ膜44は絶縁膜45に比べて非常に薄く、絶縁膜
45は厚く形成されるので、スリット領域51の段差の
影響はほとんどなく、絶縁膜45の平坦性は損なわれな
い。従って、レジスト膜47は、ほぼ平坦な絶縁膜45
上に均一な厚さで形成され、解像度の低下を招くことな
く、精確なパターニングが実現される。
【0121】次に、レジスト膜47をマスクに絶縁膜4
5をRIE法によりエッチングする。エッチングの進行
はコンタクト領域81を除いてストッパ膜44により阻
止される。従って、ストッパ膜44が露出する配線用の
溝46が形成される。これに伴い、コンタクト領域51
と重なる領域を介して、さらにRIEが進む。これによ
り、溝46の形成と共に、ストッパ膜54に達するコン
タクトホール48を形成する。
【0122】その後、コンタクトホール48底部に露出
しているストッパ膜54を選択的に除去し、コンタクト
ホール48底部に下層配線42を露出させる。このと
き、溝46底部に露出しているストッパ膜44も同時に
除去されるようにしてもよい。
【0123】次に、図35に示すように、溝46及びコ
ンタクトホール48に導電部材(例えば、Al−Cu、
Al−Cu−Si合金など)49を同時工程で埋め込
む。次に、CMP技術、RIE技術、CDE(Chemical
Dry Etching)技術などを用いて、余分な導電部材49
を除去し、溝46内及びコンタクトホール48内にのみ
導電部材49を残存させる。これにより、コンタクトホ
ール48内のコンタクトプラグ491、溝46に埋め込
まれた上層配線492が形成される。
【0124】上記製造方法では、他の実施の形態と同様
に製造コストが低くなる利点がある。さらに、この実施
の形態は、製造中の位置合わせの精度が極めてよく、信
頼性の高い製造工程に適している。もちろん、この発明
の方法により、レジスト膜47や56はパターニングの
精度は良好であるから、解像度の劣化は生じない。これ
により、位置合わせが精確なら、設計どおりのコンタク
トホールが形成できる。
【0125】次に、本発明の半導体装置の製造方法の第
9の実施の形態として、図36を参照する。図36は上
記第8の実施の形態を前記第7の実施の形態の構成に応
用したものである。
【0126】図36において、下層配線62はCuで構
成されるため、Cuの周囲は拡散防止膜71で覆われ
る。この下層配線62を含む絶縁膜41上に拡散防止膜
72が形成される。この拡散防止膜72上にはストッパ
膜54、絶縁膜43、ストッパ膜44(コンタクト領域
81を有する)、絶縁膜45が順次積層され、製造工程
は前記第8の実施の形態と同様である。
【0127】すなわち、配線溝46及びコンタクトホー
ル48を形成後、Cuの埋め込みを施す前に、CVD
(Chemical Vaper Deposition )技術、スパッタリング
技術などを用い、下地として、配線溝及びコンタクトホ
ール内壁面に拡散防止膜73を被覆する。その後、溝4
6及びコンタクトホール48に導電部材69(Cu)を
同時工程で埋め込む。
【0128】次に、CMP技術、RIE技術、CDE
(Chemical Dry Etching)技術などを用いて、余分な導
電部材69を除去し、溝46内及びコンタクトホール4
8内にのみ導電部材69を残存させる。これにより、コ
ンタクトホール48内のコンタクトプラグ691、溝4
6に埋め込まれた上層配線692が形成される。
【0129】次に、上層配線692を含む絶縁膜45上
に拡散防止膜74を被覆する。この図では拡散防止膜7
4上に、さらに上層の配線のためのストッパ膜64が形
成される。
【0130】図36の構成では拡散防止膜とストッパ膜
をそれぞれ設けたが、エッチングストッパと拡散防止の
両方の機能を兼ね備える材料であれば、1つの膜で構成
してもよい。
【0131】各実施の形態における下層配線は、一般に
導電領域として種々の構成が考えられる。すなわち、層
間絶縁膜の間の配線層だけではなく、基板上の不純物拡
散層、素子のゲート電極であってもよい。図37、図3
8はその応用例として示す断面図である。
【0132】図37において、MOSトランジスタのゲ
ート電極91、基板90上の拡散層92、絶縁膜93上
の配線層94上にそれぞれコンタクトプラグ491が形
成されている。層間絶縁膜(絶縁膜43,45)中に
は、上層の配線溝46の形成と共にコンタクトホール4
8の位置を決定する、開孔領域を有したストッパ膜44
が形成される。また、ストッパ膜54はコンタクトホー
ル48のエッチング工程の保護膜であり、最終的にはコ
ンタクトホール48に応じた大きさでそれぞれ選択的に
エッチング除去されるものである。図38は図37の構
成の導電部材49の代りにCuからなる導電部材69を
採用している。Cuの周囲は拡散防止膜73によって覆
われる。
【0133】
【発明の効果】以上、説明したように、本発明の半導体
装置及びその製造方法によれば、次のような効果を奏す
る。
【0134】(1)レジスト膜をマスクにして、配線が
形成される溝を形成すると共に、このレジスト膜及びス
トッパ膜をマスクにして、上層配線溝に対して自己整合
的にコンタクトホールが形成される。従って、配線パタ
ーン(溝)とコンタクトプラグパターン(コンタクトホ
ール)を同時に形成でき、工程の簡略化による製造コス
トの低減に貢献することができる。
【0135】(2)上記ストッパ膜、上記配線用の溝そ
れぞれを形成するレジスト膜は均一な厚さで形成される
ため、パターニングの精度は良好であることから、解像
度の劣化は極めて起こりにくくなる。
【0136】(3)配線溝下部のストッパ膜にスリット
領域を配線溝幅よりも大きく開孔しているため、リソグ
ラフィ工程で合わせずれが生じた場合でも、コンタクト
プラグとその上層配線の接触面積の減少を回避できる。
【0137】(4)並行する配線下に対向するコンタク
トホールを形成する場合に、並行する両配線を横切れる
ようにスリット領域を形成することにより、コンタクト
ホールの間隔は、必然的に配線溝の間隔と等しくなる。
よって、上記(3)の方法を用いて並行する各配線に対
向するコンタクトホールを形成する場合でも、配線溝の
間隔を解像限界スペースで形成可能となる。この結果、
半導体装置の高集積化に寄与する。
【0138】(5)溝の角部及びコンタクトホールの角
部におけるストッパ膜をテーパ形状に加工している。す
なわち、溝またはコンタクトホールの底面または側面と
ストッパ膜のテーパ面とは、鈍角で交わるように構成さ
れている。従って、配線の下地膜を、溝の内面及びコン
タクトホールの内面に、均一な膜厚かつ良好なカバレー
ジで形成することができる。
【図面の簡単な説明】
【図1】本発明の第1の実施の形態に関わる半導体装置
を示す断面図。
【図2】本発明の第2の実施の形態に関わる半導体装置
を示す断面図。
【図3】本発明の第3の実施の形態に関わる半導体装置
を示す断面図。
【図4】本発明の第4の実施の形態に関わる半導体装置
を示す断面図。
【図5】本発明の第1の実施の形態に関わる製造方法の
一工程を示す断面図。
【図6】本発明の第1の実施の形態に関わる製造方法の
一工程を示す断面図。
【図7】本発明の第1の実施の形態に関わる製造方法の
一工程を示す斜視図。
【図8】本発明の第1の実施の形態に関わる製造方法の
一工程を示す断面図。
【図9】本発明の第1の実施の形態に関わる製造方法の
一工程を示す斜視図。
【図10】本発明の第1の実施の形態に関わる製造方法
の一工程を示す斜視図。
【図11】本発明の第1の実施の形態に関わる製造方法
の一工程を示す断面図。
【図12】本発明の第2の実施の形態に関わる製造方法
の一工程を示す断面図。
【図13】本発明の第3の実施の形態に関わる製造方法
の一工程を示す断面投影図。
【図14】本発明の第3の実施の形態に関わる製造方法
の一工程を示す断面投影図。
【図15】本発明の第3の実施の形態に関わる製造方法
の一工程を示す断面投影図。
【図16】本発明の第3の実施の形態に関わる製造方法
の一工程を示す断面投影図。
【図17】本発明の第4の実施の形態に関わる製造方法
の一工程を示す断面投影図。
【図18】本発明の第4の実施の形態に関わる製造方法
の一工程を示す断面投影図。
【図19】本発明の第4の実施の形態に関わる製造方法
の一工程を示す断面投影図。
【図20】本発明の第4の実施の形態に関わる製造方法
の一工程を示す断面投影図。
【図21】本発明の第4の実施の形態に関わる製造方法
の一工程を示す断面投影図。
【図22】本発明の第3の実施の形態に関わる製造方法
の一工程を示す断面図。
【図23】本発明の第4の実施の形態に関わる製造方法
の一工程を示す断面図。
【図24】本発明の第5の実施の形態に関わる、並行し
て走る2本の配線上に対向する2個のプラグを形成する
場合の配線用の溝及びコンタクトホールを示す平面図。
【図25】図24のF25−F25線に沿う断面投影
図。
【図26】本発明の第5の実施の形態に関わる製造方法
の一工程を示す断面図。
【図27】本発明の第5の実施の形態に関わる製造方法
の一工程を示す断面図。
【図28】本発明の第5の実施の形態に関わる製造方法
の一工程を示す断面図。
【図29】本発明の第5の実施の形態に関わる製造方法
の一工程を示す断面図。
【図30】本発明の第6の実施の形態に関わる製造方法
の一工程を示す断面図。
【図31】本発明の第6の実施の形態に関わる製造方法
の一工程を示す断面図。
【図32】本発明の第7の実施の形態に関わる製造方法
を説明するための断面図。
【図33】本発明の第8の実施の形態に関わる製造方法
の一工程を示す断面図。
【図34】本発明の第8の実施の形態に関わる製造方法
の一工程を示す断面図。
【図35】本発明の第8の実施の形態に関わる製造方法
の一工程を示す断面図。
【図36】本発明の第9の実施の形態に関わる製造方法
を説明するための断面図。
【図37】本発明の実施の形態に関わる応用例を説明す
るための断面図。
【図38】本発明の実施の形態に関わる応用例を説明す
るための断面図。
【図39】従来の製造方法の一工程を示す断面図。
【図40】従来の製造方法の一工程を示す断面図。
【図41】従来の製造方法の一工程を示す断面図。
【図42】従来の製造方法の一工程を示す断面図。
【図43】コンタクトホール形成に関わる従来の製造方
法の一工程を示す断面図。
【図44】コンタクトホール形成に関わる従来の製造方
法の一工程を示す断面図。
【図45】コンタクトホール形成に関わる従来の製造方
法の一工程を示す断面図。
【図46】図46(a),(b)はそれぞれ配線溝とコ
ンタクトホールとの関係を示す平面図。
【図47】図47(a),(b)はそれぞれ配線溝とコ
ンタクトホールとの関係を示す平面図。
【図48】リソグラフィ工程の解像限界スペースを有し
て形成する配線溝とコンタクトホールの関係を示す平面
図。
【図49】リソグラフィ工程の合わせずれに対処可能な
コンタクトホールを有する配線溝とコンタクトホールの
関係を示す平面図。
【符号の説明】
11…シリコン基板 12…フィールド酸化膜 13a,14a,44,54,64…ストッパ膜 13b,14b,17〜19,41,43,45…絶縁
膜 16a…下地膜 16b、16c,49,69…導電部材 21…ゲート電極 22a,22b…ソース・ドレイン領域 23,24…ストッパ膜のテーパ部 25…シリサイド層 31,33,46…溝(配線形成用) 32,34,48…コンタクトホール(プラグ形成用) 35,47,56…レジスト膜 42,62…下層配線 51…開孔領域またはスリット領域 71,72,73,74…拡散防止膜 81…コンタクト領域

Claims (40)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板と、 前記半導体基板上に形成される第1ストッパ膜と、 前記第1ストッパ膜上に形成される第1絶縁膜と、 前記第1絶縁膜上に形成される第2ストッパ膜と、 前記第2ストッパ膜上に形成される第2絶縁膜と、 前記第2ストッパ膜と前記第2絶縁膜に設けられた溝
    内、及び前記第1ストッパ膜と前記第1絶縁膜に設けら
    れ、前記溝の底部から前記半導体基板まで達するコンタ
    クトホール内に満たされる導電部材とを具備し、 前記コンタクトホールの底面と側面の角部における前記
    第1ストッパ膜は、前記コンタクトホールの底面または
    側面と前記第1ストッパ膜の表面とが鈍角に交わるよう
    にテーパ形状を有し、かつ、前記溝の底面と側面の角部
    における前記第2ストッパ膜は、前記溝の底面または側
    面と前記第2ストッパ膜の表面とが鈍角に交わるように
    テーパ形状を有していることを特徴とする半導体装置。
  2. 【請求項2】 半導体基板と、 前記半導体基板上に形成される配線と、 前記配線上に形成される第1ストッパ膜と、 前記第1ストッパ膜上に形成される第1絶縁膜と、 前記第1絶縁膜上に形成される第2ストッパ膜と、 前記第2ストッパ膜上に形成される第2絶縁膜と、 前記第2ストッパ膜と前記第2絶縁膜に設けられた溝
    内、及び前記第1ストッパ膜と前記第1絶縁膜に設けら
    れ、前記溝の底部から前記配線まで達するコンタクトホ
    ール内に満たされる導電部材とを具備し、 前記コンタクトホールの底面と側面の角部における前記
    第1ストッパ膜は、前記コンタクトホールの底面または
    側面と前記第1ストッパ膜の表面とが鈍角に交わるよう
    にテーパ形状を有し、かつ、前記溝の底面と側面の角部
    における前記第2ストッパ膜は、前記溝の底面または側
    面と前記第2ストッパ膜の表面とが鈍角に交わるように
    テーパ形状を有していることを特徴とする半導体装置。
  3. 【請求項3】 前記導電部材は、前記コンタクトホール
    の底面及び側面、及び前記溝の底面及び側面に形成され
    る下地膜と、前記コンタクトホール内及び前記溝内を満
    たす金属膜とから構成されていることを特徴とする請求
    項1または2に記載の半導体装置。
  4. 【請求項4】 前記導電部材は、前記コンタクトホール
    を満たす金属膜と、前記溝の底面、側面及びコンタクト
    ホールを満す金属膜上に形成される下地膜と、前記溝内
    を満たす金属膜とから構成されていることを特徴とする
    請求項1または2に記載の半導体装置。
  5. 【請求項5】 半導体基板と、 前記半導体基板上に形成されるストッパ膜と、 前記ストッパ膜上に形成される絶縁膜と、 前記ストッパ膜と前記絶縁膜に設けられ、 前記絶縁膜の表面から前記半導体基板まで達するコンタ
    クトホール内に満たされる導電部材とを具備し、 前記コンタクトホールの底面と側面の角部における前記
    ストッパ膜は、前記コンタクトホールの底面または側面
    と前記ストッパ膜の表面とが鈍角に交わるようにテーパ
    形状を有していることを特徴とする半導体装置。
  6. 【請求項6】 前記導電部材は、前記コンタクトホール
    の底面及び側面に形成される下地膜と、前記コンタクト
    ホール内を満たす金属膜とから構成されていることを特
    徴とする請求項5に記載の半導体装置。
  7. 【請求項7】 半導体基板と、 前記半導体基板上に形成される第1絶縁膜と、 前記第1絶縁膜上に形成されるストッパ膜と、 前記ストッパ膜上に形成される第2絶縁膜と、 前記ストッパ膜と前記第2絶縁膜に設けられ、 前記第2絶縁膜の表面から前記第1絶縁膜の表面まで達
    する溝内に満たされる導電部材とを具備し、 前記溝の底面と側面の角部における前記ストッパ膜は、
    前記溝の底面または側面と前記ストッパ膜の表面とが鈍
    角に交わるようにテーパ形状を有していることを特徴と
    する半導体装置。
  8. 【請求項8】 前記導電部材は、前記溝の底面及び側面
    に形成される下地膜と、前記溝内を満たす金属膜とから
    構成されていることを特徴とする請求項7に記載の半導
    体装置。
  9. 【請求項9】 半導体基板上に第1ストッパ膜を形成す
    る工程と、 前記第1ストッパ膜上に第1絶縁膜を形成する工程と、 前記第1絶縁膜上に第2ストッパ膜を形成する工程と、 前記第2ストッパ膜に開孔領域を形成する工程と、 前記第1絶縁膜上及び前記第2ストッパ膜上に第2絶縁
    膜を形成する工程と、 前記第2絶縁膜上にレジスト膜を形成する工程と、 前記レジスト膜において、少なくとも前記第2ストッパ
    膜の開孔領域上を含んだ前記第2絶縁膜表面が露出する
    パターンを形成する工程と、 前記レジスト膜をマスクにして前記第2絶縁膜をエッチ
    ングし前記第2絶縁膜に溝を形成すると同時に、前記第
    2ストッパ膜と前記レジスト膜をマスクにして前記第1
    絶縁膜をエッチングし前記第1絶縁膜にコンタクトホー
    ルを形成する工程と、 前記コンタクトホールの底部の前記第1ストッパ膜を除
    去する工程と、 前記コンタクトホール内及び前記溝内に導電部材を満た
    す工程とを具備することを特徴とする半導体装置の製造
    方法。
  10. 【請求項10】 前記第1ストッパ膜を除去した前記コ
    ンタクトホールの底部は、前記半導体基板上の層間絶縁
    膜の間の配線層、前記半導体基板表面の不純物拡散層、
    前記半導体基板に形成された素子のゲート電極のうちの
    いずれかの導電領域を含み、前記溝は上層の配線となる
    ことを特徴とする請求項9に記載の半導体装置の製造方
    法。
  11. 【請求項11】 半導体基板上に配線を形成する工程
    と、 前記配線上に第1ストッパ膜を形成する工程と、 前記第1ストッパ膜上に第1絶縁膜を形成する工程と、 前記第1絶縁膜上に第2ストッパ膜を形成する工程と、 前記第2ストッパ膜に開孔領域を形成する工程と、 前記第1絶縁膜上及び前記第2ストッパ膜上に第2絶縁
    膜を形成する工程と、 前記第2絶縁膜上にレジスト膜を形成する工程と、 前記レジスト膜において、少なくとも前記第2ストッパ
    膜の開孔領域上を含んだ前記第2絶縁膜表面が露出する
    パターンを形成する工程と、 前記レジスト膜をマスクにして前記第2絶縁膜をエッチ
    ングし前記第2絶縁膜に溝を形成すると同時に、前記第
    2ストッパ膜と前記レジスト膜をマスクにして前記第1
    絶縁膜をエッチングし前記第1絶縁膜にコンタクトホー
    ルを形成する工程と、 前記コンタクトホールの底部の前記第1ストッパ膜を除
    去する工程と、 前記コンタクトホール内及び前記溝内に導電部材を満た
    す工程とを具備することを特徴とする半導体装置の製造
    方法。
  12. 【請求項12】 前記第2ストッパ膜に形成される開孔
    領域は前記溝のエッチング幅より大きい寸法であること
    を特徴とする請求項9または11に記載の半導体装置の
    製造方法。
  13. 【請求項13】 前記導電部材は、溝内においては前記
    配線の上層の配線となり、前記コンタクトホール内にお
    いては配線間のコンタクトプラグとなることを特徴とす
    る請求項11に記載の半導体装置の製造方法。
  14. 【請求項14】 前記コンタクトホールの底部の前記第
    1ストッパ膜及び前記溝の底部の前記第2ストッパ膜
    は、エッチング中に側壁保護膜が堆積するような条件の
    下で行う反応性イオンエッチングにより除去され、前記
    コンタクトホールの底面と側面の角部にはテーパ形状を
    有する前記第1ストッパ膜が残存し、前記溝の底面と側
    面の角部にはテーパ形状を有する前記第2ストッパ膜が
    残存することを特徴とする請求項9または11に記載の
    半導体装置の製造方法。
  15. 【請求項15】 前記導電部材は、前記コンタクトホー
    ルの底面及び側面、及び前記溝の底面及び側面に下地膜
    を形成した後に、前記下地膜上に金属膜を形成すること
    により、前記コンタクトホール内及び前記溝内に満たさ
    れることを特徴とする請求項9または11に記載の半導
    体装置の製造方法。
  16. 【請求項16】 前記導電部材は、前記コンタクトホー
    ル内に金属膜を満たした後に、前記溝の底面、側面及び
    コンタクトホール内の金属膜上に下地膜を形成し、前記
    下地膜上に金属膜を形成することにより、前記コンタク
    トホール内及び前記溝内に満たされることを特徴とする
    請求項9または10に記載の半導体装置の製造方法。
  17. 【請求項17】 半導体基板上にストッパ膜を形成する
    工程と、 前記ストッパ膜上に絶縁膜を形成する工程と、 前記絶縁膜をエッチングし、前記絶縁膜の表面から前記
    半導体基板まで達するコンタクトホールを形成する工程
    と、 前記コンタクトホールの底部の前記ストッパ膜を、エッ
    チング中に側壁保護膜が堆積するような条件の下で行う
    反応性イオンエッチングにより除去し、前記コンタクト
    ホールの底面と側面の角部にテーパ形状を有する前記ス
    トッパ膜を残存させる工程と、 前記コンタクトホール内に導電部材を満たす工程とを具
    備することを特徴とする半導体装置の製造方法。
  18. 【請求項18】 前記導電部材は、前記コンタクトホー
    ルの底面及び側面に下地膜を形成した後に、前記下地膜
    上に金属膜を形成することにより、前記コンタクトホー
    ル内に満たされることを特徴とする請求項17に記載の
    半導体装置の製造方法。
  19. 【請求項19】 半導体基板上に第1絶縁膜を形成する
    工程と、 前記第1絶縁膜上にストッパ膜を形成する工程と、 前記ストッパ膜上に第2絶縁膜を形成する工程と、 前記第2絶縁膜をエッチングし、前記第2絶縁膜の表面
    から前記第1絶縁膜の表面まで達する溝を形成する工程
    と、 前記溝の底部の前記ストッパ膜を、エッチング中に側壁
    保護膜が堆積するような条件の下で行う反応性イオンエ
    ッチングにより除去し、前記溝の底面と側面の角部にテ
    ーパ形状を有する前記ストッパ膜を残存させる工程と、 前記溝内に導電部材を満たす工程とを具備することを特
    徴とする半導体装置の製造方法。
  20. 【請求項20】 前記導電部材は、前記溝の底面及び側
    面に下地膜を形成した後に、前記下地膜上に金属膜を形
    成することにより、前記溝内に満たされることを特徴と
    する請求項19に記載の半導体装置の製造方法。
  21. 【請求項21】 層間絶縁膜を隔てて設けられた第1の
    導電領域とその上層の第2の導電領域の一部分どうしを
    電気的に接続する埋め込み電極を有する半導体装置の製
    造方法において、 前記層間絶縁膜の一部である第1の絶縁膜を形成する工
    程と、 前記第1の絶縁膜上にこの第1の絶縁膜とエッチング選
    択比の異なる絶縁性の保護膜を形成する工程と、 前記保護膜に対して予め前記埋め込み電極の形成領域を
    含んだ開孔領域を形成する工程と、 前記保護膜及び開孔領域を覆う前記層間絶縁膜の一部で
    ある第2の絶縁膜を形成する工程と、 前記保護膜が底部となる前記第2の導電領域用の溝を形
    成すると共にこの溝形成時において重なる前記保護膜の
    開孔領域の部分を介して前記第1の導電領域に到達する
    コンタクトホールを形成する、前記第1の絶縁膜に対す
    るエッチング工程と、 前記コンタクトホール及び溝に導電部材を同時に埋め込
    む工程とを具備したことを特徴とする半導体装置の製造
    方法。
  22. 【請求項22】 前記エッチング工程は前記第2の絶縁
    膜上にパターニングされたレジスト膜をマスクとして異
    方性エッチングすることを特徴とする請求項20記載の
    半導体装置の製造方法。
  23. 【請求項23】 前記第1の絶縁膜を形成する工程前に
    前記第1の導電領域上に前記保護膜と同等の性質の膜厚
    の予備保護膜を形成する工程と、前記層間絶縁膜に対す
    るエッチング工程の後、前記保護膜及び予備保護膜を除
    去するエッチング工程を具備することを特徴とする請求
    項21に記載の半導体装置の製造方法。
  24. 【請求項24】 前記保護膜に対する開孔領域は前記溝
    のエッチング幅より大きい寸法で形成することを特徴と
    する請求項21に記載の半導体装置の製造方法。
  25. 【請求項25】 前記第1の導電領域は層間絶縁膜の間
    の配線層、基板上の不純物拡散層、素子のゲート電極の
    うちのいずれかを含み、前記第2の導電領域は前記溝内
    に形成される配線層であることを特徴とする請求項21
    に記載の半導体装置の製造方法。
  26. 【請求項26】 前記第2の導電領域は前記溝内に形成
    される配線であり、前記保護膜の開孔領域は前記第2の
    導電領域中の配線を横切るように形成するスリット領域
    であり、このスリット領域と前記溝の形成領域とがエッ
    チング方向で共有する部分を前記コンタクトホールの形
    成領域としていることを特徴とする請求項21に記載の
    半導体装置の製造方法。
  27. 【請求項27】 前記溝は複数並行して形成し、前記第
    2の導電領域はこれら各溝内に埋め込まれた前記導電部
    材からなる複数の配線を構成するものであり、前記保護
    膜の開孔領域は前記複数の配線を横切るように形成する
    スリット領域であり、前記スリット領域と前記溝の形成
    領域とがエッチング方向で共有する部分を前記コンタク
    トホール形成領域としていることを特徴とする請求項2
    1に記載の半導体装置の製造方法。
  28. 【請求項28】 前記コンタクトホールは並行する前記
    溝の2本にそれぞれ対向するように形成されることを特
    徴とする請求項27に記載の半導体装置の製造方法。
  29. 【請求項29】 前記導電部材の下地膜を形成する工程
    を具備し、この下地膜を拡散防止用とすることを特徴と
    する請求項21に記載の半導体装置の製造方法。
  30. 【請求項30】 前記下地膜はTi、W、Coのうちの
    いずれかの金属を主成分とする導電体であることを特徴
    とする請求項29に記載の半導体装置の製造方法。
  31. 【請求項31】 シリコン基板またはシリコン基板上に
    形成された導電領域上に第1の絶縁膜を堆積する工程
    と、 前記第1の絶縁膜に対しエッチング選択比が異なる第2
    の絶縁膜を堆積する工程と、 前記第2の絶縁膜に対しエッチング選択比が異なる第3
    の絶縁膜を堆積する工程と、 前記第3の絶縁膜に対し、後に第2の絶縁膜を開孔する
    ためのスリット領域を予め形成する工程と、 前記第3の絶縁膜及びスリット領域上に前記第3の絶縁
    膜とエッチング選択比が異なる第4の絶縁膜を堆積する
    工程と、 前記第3の絶縁膜をエッチングストッパにして前記第4
    の絶縁膜に対し配線領域となる第1の開孔部を形成する
    と同時に前記スリット領域と第1の開孔部が重なる領域
    に対応する前記第2の絶縁膜に対し第2の開孔部を形成
    する第1のエッチング工程と、 前記第3の絶縁膜及び第1の絶縁膜を除去して前記第2
    の開孔部を前記導電領域に到達させる第2のエッチング
    工程と、 前記第1、第2の開孔部内に導電部材を同時に埋め込む
    工程とを具備したことを特徴とする半導体装置の製造方
    法。
  32. 【請求項32】 前記第3の絶縁膜は前記導電部材に接
    触して形成されることを特徴とする請求項31に記載の
    半導体装置の製造方法。
  33. 【請求項33】 前記第1の絶縁膜は前記導電領域に接
    触して形成されることを特徴とする請求項31に記載の
    半導体装置の製造方法。
  34. 【請求項34】 前記第3の絶縁膜のエッチング速度を
    E3 、前記第3の絶縁膜から前記第1の絶縁膜までの前
    記第2の絶縁膜の厚さをT、この第2の絶縁膜のエッチ
    ング速度をE2 とすると、前記第3の絶縁膜の膜厚は、
    (E3 /E2)×Tで算出される値よりも大きいことを
    特徴とする請求項31に記載の半導体装置の製造方法。
  35. 【請求項35】 前記第1と第3の絶縁膜は同等の性質
    であり、前記第2と第4の絶縁膜は同等の性質であるこ
    とを特徴とする請求項31に記載の半導体装置の製造方
    法。
  36. 【請求項36】 前記第3の絶縁膜に対するスリット領
    域は前記第1の開孔部の一方辺方向に関してこの第1の
    開孔部より大きい寸法で形成することを特徴とする請求
    項31に記載の半導体装置の製造方法。
  37. 【請求項37】 前記第1の開孔部は少なくとも2本並
    行してエッチングされる溝であり、前記スリット領域は
    この2本の溝を横切るように形成されるものであり、前
    記第2の開孔部は前記スリット領域と溝の形成領域とが
    エッチング方向で共有する部分に規定されることを特徴
    とする請求項31に記載の半導体装置の製造方法。
  38. 【請求項38】 前記溝はリソグラフィ技術を用いて形
    成され、前記溝どうしの間隔は前記リソグラフィ技術の
    最小解像スペースと同等であることを特徴とする請求項
    37に記載の半導体装置の製造方法。
  39. 【請求項39】 前記導電部材の下地膜を形成する工程
    を具備し、この下地膜を拡散防止用とすることを特徴と
    する請求項31に記載の半導体装置の製造方法。
  40. 【請求項40】 前記下地膜はTi、W、Coのうちの
    いずれかの金属を主成分とする導電体であることを特徴
    とする請求項39に記載の半導体装置の製造方法。
JP8212332A 1995-09-29 1996-08-12 半導体装置及びその製造方法 Pending JPH09153545A (ja)

Priority Applications (10)

Application Number Priority Date Filing Date Title
JP8212332A JPH09153545A (ja) 1995-09-29 1996-08-12 半導体装置及びその製造方法
KR1019960043399A KR100253852B1 (ko) 1995-09-29 1996-09-25 반도체 장치 및 그 제조 방법
US08/720,241 US5976972A (en) 1995-09-29 1996-09-26 Method of making semiconductor apparatus having wiring groove and contact hole formed in a self-alignment manner
DE69625975T DE69625975T2 (de) 1995-09-29 1996-09-27 Halbleiteranordnung mit in selbstjustierter Weise gebildeter Leiternut und Kontaktloch und deren Herstellungsverfahren
EP96115556A EP0766303B1 (en) 1995-09-29 1996-09-27 Semiconductor apparatus having wiring groove and contact hole formed in self-alignment manner and method of fabricating the same
CNB961203277A CN1154170C (zh) 1995-09-29 1996-09-28 半导体器件及其制造方法
CNB2003101143767A CN1266760C (zh) 1995-09-29 1996-09-28 半导体器件及其制造方法
MYPI96004025A MY113878A (en) 1995-09-29 1996-09-28 Semiconductor apparatus having wiring groove and contact hole formed in self-alignment manner and method of fabricating the same
TW085111906A TW349262B (en) 1995-09-29 1996-09-30 Semiconductor device related to wiring and process for producing the same
US09/224,173 US6163067A (en) 1995-09-29 1998-12-31 Semiconductor apparatus having wiring groove and contact hole in self-alignment manner

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP7-253736 1995-09-29
JP25373695 1995-09-29
JP8212332A JPH09153545A (ja) 1995-09-29 1996-08-12 半導体装置及びその製造方法

Publications (1)

Publication Number Publication Date
JPH09153545A true JPH09153545A (ja) 1997-06-10

Family

ID=26519157

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8212332A Pending JPH09153545A (ja) 1995-09-29 1996-08-12 半導体装置及びその製造方法

Country Status (8)

Country Link
US (2) US5976972A (ja)
EP (1) EP0766303B1 (ja)
JP (1) JPH09153545A (ja)
KR (1) KR100253852B1 (ja)
CN (2) CN1154170C (ja)
DE (1) DE69625975T2 (ja)
MY (1) MY113878A (ja)
TW (1) TW349262B (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6197696B1 (en) 1998-03-26 2001-03-06 Matsushita Electric Industrial Co., Ltd. Method for forming interconnection structure
US6228755B1 (en) 1998-09-11 2001-05-08 Mitsubishi Denki Kabushiki Kaisha Semiconductor device, and manufacturing method therefor
US6261949B1 (en) 1998-04-07 2001-07-17 Nec Corporation Method for manufacturing semiconductor device
KR20010074554A (ko) * 2000-01-25 2001-08-04 가네꼬 히사시 반도체장치구조 및 그 제조방법
US6548900B1 (en) 1999-04-27 2003-04-15 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and fabrication method thereof
KR100403357B1 (ko) * 1997-12-16 2003-12-18 주식회사 하이닉스반도체 반도체 소자의 제조방법
US6765294B1 (en) 1999-01-22 2004-07-20 Nec Electronics Corporation Semiconductor device including dual-damascene structure and method for manufacturing the same
JP2005175252A (ja) * 2003-12-12 2005-06-30 Ricoh Co Ltd 半導体装置
JP2007019493A (ja) * 2005-06-20 2007-01-25 Qimonda Ag フラッシュメモリデバイスにおける接点形成方法
JP2009200521A (ja) * 2009-05-08 2009-09-03 Renesas Technology Corp 半導体デバイスの製造方法
US7727899B2 (en) 2006-04-06 2010-06-01 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device and semiconductor storage device including fine contact holes
JP2011086969A (ja) * 2011-02-01 2011-04-28 Rohm Co Ltd 半導体装置およびその製造方法
JP2013168687A (ja) * 2007-06-15 2013-08-29 Samsung Electronics Co Ltd 半導体素子の製造方法
JP2014039059A (ja) * 2013-10-21 2014-02-27 Rohm Co Ltd 半導体装置およびその製造方法

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6156149A (en) * 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6060385A (en) * 1997-02-14 2000-05-09 Micro Technology, Inc. Method of making an interconnect structure
SG70654A1 (en) * 1997-09-30 2000-02-22 Ibm Copper stud structure with refractory metal liner
JP3305251B2 (ja) * 1998-02-26 2002-07-22 松下電器産業株式会社 配線構造体の形成方法
US6166819A (en) * 1998-06-26 2000-12-26 Siemens Aktiengesellschaft System and methods for optically measuring dielectric thickness in semiconductor devices
US6420261B2 (en) * 1998-08-31 2002-07-16 Fujitsu Limited Semiconductor device manufacturing method
US6057230A (en) * 1998-09-17 2000-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dry etching procedure and recipe for patterning of thin film copper layers
JP4074014B2 (ja) * 1998-10-27 2008-04-09 株式会社東芝 半導体装置及びその製造方法
JP3312604B2 (ja) * 1998-11-06 2002-08-12 日本電気株式会社 半導体装置の製造方法
US6734564B1 (en) * 1999-01-04 2004-05-11 International Business Machines Corporation Specially shaped contact via and integrated circuit therewith
US20030089987A1 (en) * 1999-02-05 2003-05-15 Suketu A. Parikh Dual damascene misalignment tolerant techniques for vias and sacrificial etch segments
JP3436221B2 (ja) * 1999-03-15 2003-08-11 ソニー株式会社 半導体装置の製造方法
JP4094174B2 (ja) * 1999-06-04 2008-06-04 株式会社ルネサステクノロジ 半導体装置の製造方法
JP2001127169A (ja) * 1999-10-27 2001-05-11 Mitsubishi Electric Corp 半導体装置およびその製造方法
US20020076917A1 (en) * 1999-12-20 2002-06-20 Edward P Barth Dual damascene interconnect structure using low stress flourosilicate insulator with copper conductors
US6319840B1 (en) * 2000-06-29 2001-11-20 International Business Machines Corporation For mol integration
KR100366625B1 (ko) * 2000-07-25 2003-01-09 삼성전자 주식회사 듀얼 다마신 배선구조의 반도체 소자 및 그 제조방법
US6989602B1 (en) * 2000-09-21 2006-01-24 Agere Systems Inc. Dual damascene process with no passing metal features
US6399470B1 (en) * 2000-10-05 2002-06-04 Oki Electronic Industry Co., Ltd. Method for forming contact holes on conductors having a protective layer using selective etching
US6372635B1 (en) * 2001-02-06 2002-04-16 Advanced Micro Devices, Inc. Method for making a slot via filled dual damascene low k interconnect structure without middle stop layer
US6444573B1 (en) * 2001-02-21 2002-09-03 Advanced Micro Devices, Inc. Method of making a slot via filled dual damascene structure with a middle stop layer
JP2002252281A (ja) * 2001-02-27 2002-09-06 Sony Corp 半導体装置およびその製造方法
US6376351B1 (en) * 2001-06-28 2002-04-23 Taiwan Semiconductor Manufacturing Company High Fmax RF MOSFET with embedded stack gate
JP3946471B2 (ja) * 2001-07-24 2007-07-18 シャープ株式会社 半導体装置の製造方法
US7119010B2 (en) * 2002-04-23 2006-10-10 Chartered Semiconductor Manfacturing Ltd. Integrated circuit with self-aligned line and via and manufacturing method therefor
JP3779243B2 (ja) 2002-07-31 2006-05-24 富士通株式会社 半導体装置及びその製造方法
US6864581B1 (en) 2002-08-15 2005-03-08 National Semiconductor Corporation Etched metal trace with reduced RF impendance resulting from the skin effect
US6853079B1 (en) 2002-08-15 2005-02-08 National Semiconductor Corporation Conductive trace with reduced RF impedance resulting from the skin effect
US6740956B1 (en) 2002-08-15 2004-05-25 National Semiconductor Corporation Metal trace with reduced RF impedance resulting from the skin effect
US6703710B1 (en) * 2002-08-15 2004-03-09 National Semiconductor Corporation Dual damascene metal trace with reduced RF impedance resulting from the skin effect
US6911389B2 (en) * 2002-09-18 2005-06-28 Texas Instruments Incorporated Self aligned vias in dual damascene interconnect, buried mask approach
US7135401B2 (en) * 2004-05-06 2006-11-14 Micron Technology, Inc. Methods of forming electrical connections for semiconductor constructions
JP2006245198A (ja) * 2005-03-02 2006-09-14 Nec Electronics Corp 半導体装置の製造方法
US7999392B2 (en) * 2005-03-09 2011-08-16 Renesas Electronics Corporation Multilayer wiring structure, semiconductor device, pattern transfer mask and method for manufacturing multilayer wiring structure
JP2006303307A (ja) * 2005-04-22 2006-11-02 Toshiba Corp 半導体装置およびその製造方法
KR100824200B1 (ko) * 2006-09-29 2008-04-21 주식회사 하이닉스반도체 반도체 소자의 금속배선
JP5192779B2 (ja) * 2007-11-02 2013-05-08 株式会社コナミデジタルエンタテインメント ゲーム装置、ゲーム装置の制御方法及びプログラム
US8803245B2 (en) 2008-06-30 2014-08-12 Mcafee, Inc. Method of forming stacked trench contacts and structures formed thereby
DE102008059503A1 (de) * 2008-11-28 2010-07-01 Advanced Micro Devices, Inc., Sunnyvale Leistungsverbesserung in Metallisierungssystemen von Mikrostrukturbauelementen durch Einbau von Metallstrukturen mit größeren Korngrenzen
JP2011134837A (ja) * 2009-12-24 2011-07-07 Sanyo Electric Co Ltd 半導体装置の製造方法
FR3062236A1 (fr) * 2017-01-23 2018-07-27 Stmicroelectronics (Rousset) Sas Barre de connexion
CN112820711A (zh) * 2019-11-15 2021-05-18 胡迪群 集成基板结构、重布线结构及其制造方法
US11984403B2 (en) 2019-11-15 2024-05-14 Dyi-chung Hu Integrated substrate structure, redistribution structure, and manufacturing method thereof

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
JPS62290148A (ja) * 1986-06-09 1987-12-17 Oki Electric Ind Co Ltd 半導体装置の製造方法
US4676869A (en) * 1986-09-04 1987-06-30 American Telephone And Telegraph Company At&T Bell Laboratories Integrated circuits having stepped dielectric regions
DE3686721D1 (de) * 1986-10-08 1992-10-15 Ibm Verfahren zur herstellung einer kontaktoeffnung mit gewuenschter schraege in einer zusammengesetzten schicht, die mit photoresist maskiert ist.
US4999318A (en) * 1986-11-12 1991-03-12 Hitachi, Ltd. Method for forming metal layer interconnects using stepped via walls
JPH0611044B2 (ja) * 1987-05-07 1994-02-09 日本電気株式会社 半導体装置の製造方法
US4832789A (en) * 1988-04-08 1989-05-23 American Telephone And Telegrph Company, At&T Bell Laboratories Semiconductor devices having multi-level metal interconnects
JP2585140B2 (ja) * 1989-11-14 1997-02-26 三菱電機株式会社 半導体装置の配線接触構造
JPH03198327A (ja) * 1989-12-26 1991-08-29 Fujitsu Ltd 半導体装置の製造方法
NL9100094A (nl) * 1991-01-21 1992-08-17 Koninkl Philips Electronics Nv Halfgeleiderinrichting en werkwijze ter vervaardiging van een dergelijke halfgeleiderinrichting.
US5203957A (en) * 1991-06-12 1993-04-20 Taiwan Semiconductor Manufacturing Company Contact sidewall tapering with argon sputtering
US5169802A (en) * 1991-06-17 1992-12-08 Hewlett-Packard Company Internal bridging contact
JP2934353B2 (ja) * 1992-06-24 1999-08-16 三菱電機株式会社 半導体装置およびその製造方法
KR0126801B1 (ko) * 1993-12-22 1998-04-02 김광호 반도체 장치의 배선 형성방법
US5635423A (en) * 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
KR0138305B1 (ko) * 1994-11-30 1998-06-01 김광호 반도체소자 배선형성방법
US5656543A (en) * 1995-02-03 1997-08-12 National Semiconductor Corporation Fabrication of integrated circuits with borderless vias
US5534462A (en) * 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
US5940732A (en) * 1995-11-27 1999-08-17 Semiconductor Energy Laboratory Co., Method of fabricating semiconductor device
KR0179792B1 (ko) * 1995-12-27 1999-04-15 문정환 고밀도 플라즈마 식각장비를 이용한 슬로프 콘택 홀 형성방법
US5730835A (en) * 1996-01-31 1998-03-24 Micron Technology, Inc. Facet etch for improved step coverage of integrated circuit contacts
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100403357B1 (ko) * 1997-12-16 2003-12-18 주식회사 하이닉스반도체 반도체 소자의 제조방법
US6197696B1 (en) 1998-03-26 2001-03-06 Matsushita Electric Industrial Co., Ltd. Method for forming interconnection structure
US6287973B2 (en) 1998-03-26 2001-09-11 Matsushita Electric Industrial Co., Ltd. Method for forming interconnection structure
US6261949B1 (en) 1998-04-07 2001-07-17 Nec Corporation Method for manufacturing semiconductor device
KR100326819B1 (ko) * 1998-04-07 2002-03-04 가네꼬 히사시 반도체 장치의 제조 방법
US6228755B1 (en) 1998-09-11 2001-05-08 Mitsubishi Denki Kabushiki Kaisha Semiconductor device, and manufacturing method therefor
US6765294B1 (en) 1999-01-22 2004-07-20 Nec Electronics Corporation Semiconductor device including dual-damascene structure and method for manufacturing the same
US6548900B1 (en) 1999-04-27 2003-04-15 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and fabrication method thereof
KR20010074554A (ko) * 2000-01-25 2001-08-04 가네꼬 히사시 반도체장치구조 및 그 제조방법
JP2005175252A (ja) * 2003-12-12 2005-06-30 Ricoh Co Ltd 半導体装置
JP2007019493A (ja) * 2005-06-20 2007-01-25 Qimonda Ag フラッシュメモリデバイスにおける接点形成方法
US7727899B2 (en) 2006-04-06 2010-06-01 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device and semiconductor storage device including fine contact holes
JP2013168687A (ja) * 2007-06-15 2013-08-29 Samsung Electronics Co Ltd 半導体素子の製造方法
JP2009200521A (ja) * 2009-05-08 2009-09-03 Renesas Technology Corp 半導体デバイスの製造方法
JP2011086969A (ja) * 2011-02-01 2011-04-28 Rohm Co Ltd 半導体装置およびその製造方法
JP2014039059A (ja) * 2013-10-21 2014-02-27 Rohm Co Ltd 半導体装置およびその製造方法

Also Published As

Publication number Publication date
US5976972A (en) 1999-11-02
EP0766303A2 (en) 1997-04-02
MY113878A (en) 2002-06-29
US6163067A (en) 2000-12-19
KR100253852B1 (ko) 2000-05-01
EP0766303A3 (ja) 1997-04-23
EP0766303B1 (en) 2003-01-29
CN1152191A (zh) 1997-06-18
CN1154170C (zh) 2004-06-16
CN1266760C (zh) 2006-07-26
CN1501472A (zh) 2004-06-02
DE69625975D1 (de) 2003-03-06
KR970018091A (ko) 1997-04-30
TW349262B (en) 1999-01-01
DE69625975T2 (de) 2003-08-28

Similar Documents

Publication Publication Date Title
JPH09153545A (ja) 半導体装置及びその製造方法
US7119009B2 (en) Semiconductor device with dual damascene wiring
US5641710A (en) Post tungsten etch back anneal, to improve aluminum step coverage
JP2001230317A (ja) 多層配線構造の形成方法及び半導体装置の多層配線構造
US5587331A (en) Method of forming a contact hole for a metal line in a semiconductor device
JP3468188B2 (ja) 半導体装置とその製法
KR100469913B1 (ko) 반도체소자의 제조방법
US6849536B2 (en) Inter-metal dielectric patterns and method of forming the same
JP3449998B2 (ja) 半導体装置におけるコンタクトホールの形成方法
US6583054B2 (en) Method for forming conductive line in semiconductor device
JP2002299437A (ja) 半導体装置の製造方法
JPH0637190A (ja) 半導体装置およびその製造方法
JP3534589B2 (ja) 多層配線装置及びその製造方法
US5510653A (en) Semiconductor device including silicon ladder resin layer
JP3359622B2 (ja) 配線形成方法
JPH07169835A (ja) 半導体素子のメタルプラグの形成方法
KR101021176B1 (ko) 반도체 소자의 금속배선 형성방법
JPH1174174A (ja) 半導体装置の製造方法
JPH0982800A (ja) 半導体集積回路装置及びその製造方法
JP4379245B2 (ja) 半導体装置の製造方法
JP2000182989A (ja) 半導体装置
JPH0955424A (ja) 多層配線の形成方法
KR100506050B1 (ko) 반도체소자의 콘택 형성방법
KR100338109B1 (ko) 반도체소자의금속배선제조방법
JP2790514B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040329

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040525

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040726

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050823