JP3436221B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP3436221B2
JP3436221B2 JP34963099A JP34963099A JP3436221B2 JP 3436221 B2 JP3436221 B2 JP 3436221B2 JP 34963099 A JP34963099 A JP 34963099A JP 34963099 A JP34963099 A JP 34963099A JP 3436221 B2 JP3436221 B2 JP 3436221B2
Authority
JP
Japan
Prior art keywords
film
mask
forming
interlayer insulating
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP34963099A
Other languages
English (en)
Other versions
JP2001044189A (ja
Inventor
利昭 長谷川
充 田口
幸児 宮田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP34963099A priority Critical patent/JP3436221B2/ja
Priority to TW089101976A priority patent/TW556312B/zh
Priority to KR1020000007076A priority patent/KR100645422B1/ko
Priority to US09/525,265 priority patent/US6593246B1/en
Publication of JP2001044189A publication Critical patent/JP2001044189A/ja
Application granted granted Critical
Publication of JP3436221B2 publication Critical patent/JP3436221B2/ja
Priority to US10/954,148 priority patent/USRE40748E1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置の製造
方法に関し、詳しくは0.25μm世代以降のデバイス
プロセスに用いられる多層配線構造を有する半導体装置
の製造方法に関する。
【0002】
【従来の技術】半導体装置の微細化にともなって、配線
の微細化、配線ピッチの縮小化が必要となっている。ま
た、同時に、低消費電力化および高速化などの要求にと
もない、層間絶縁膜の低誘電率化および配線の低抵抗化
が必要になってきた。特にロジック系のデバイスでは、
微細配線による抵抗の上昇、配線容量の増加がデバイス
の速度劣化につながるため、低誘電率膜を層間絶縁膜に
用いた微細な多層配線が必要となっている。
【0003】層間絶縁膜に接続孔と配線溝を形成してお
き導電材料を埋め込んで平坦化するデュアルダマシン法
を低誘電率層間絶縁膜に適用するためには、低誘電率層
間絶縁膜に接続孔と配線溝とを同時に形成する技術が必
要になる。
【0004】上記低誘電率層間絶縁膜の材料としては、
有機ポリマーが注目されている。有機ポリマーは、2.
7前後の誘電率を有し、誘電率が4.0程度の酸化シリ
コン(SiO2 )膜や誘電率が3.5程度の酸フッ化
シリコン(SiOF)膜等を用いた従来の層間絶縁膜に
比べて低い値の誘電率となっている。そのため、有機ポ
リマーを層間絶縁膜に用いた半導体装置は、大幅な性能
の向上を実現することができる。しかしながら、有機ポ
リマーは高価な材料であるため、コストの上昇と半導体
装置性能の向上のバランスとを考慮すると、溝配線が形
成される層の層間絶縁膜のみを有機ポリマーで形成し、
接続孔が形成される層の層間絶縁膜は従来から用いられ
てきた酸化シリコンや酸フッ化シリコンを用いることが
考慮されている。その一例を、以下図7によって説明す
る。
【0005】図7の(1)に示すように、トランジス
タ、配線等が形成された基板110に配線材料を拡散さ
せない材料からなるパッシベーション膜111を窒化シ
リコン膜で形成した後、ビアホールが形成される第1の
層間絶縁膜112を500nmの厚さの酸化シリコン膜
で形成する。次いで、第1の層間絶縁膜112にビアホ
ールを形成するために用いるレジストマスク(図示せ
ず)を形成し、それをエッチングマスクに用いたエッチ
ングにより第1の層間絶縁膜112にビアホール113
を形成する。その後、上記レジストマスクを除去する。
【0006】次いで、図7の(2)に示すように、上記
第1の層間絶縁膜112上に上記ビアホール113を埋
め込む第2の層間絶縁膜114を500nmの厚さの有
機ポリマーで形成する。
【0007】さらに図7の(3)に示すように、第2の
層間絶縁膜114上に配線溝を形成する際のエッチング
マスクとなるマスク層115を例えば100nmの厚さ
の酸化シリコン膜で形成する。そして上記マスク層11
5上に配線溝パターンを形成するために用いるレジスト
マスク116を形成する。このレジストマスク116に
は配線溝パターンを形成するための開口部117を形成
しておく。
【0008】続いて図7の(4)に示すように、上記レ
ジストマスク116をエッチングマスクに用いたエッチ
ングによりマスク層115に配線溝パターン118を形
成する。
【0009】さらに図7の(5)に示すように、上記レ
ジストマスク116[前記図7の(4)参照]および上
記マスク層115をエッチングマスクに用いて第2の層
間絶縁膜114をエッチングし、配線溝119を形成す
るとともに、上記ビアホール113内部に埋め込まれた
第2の層間絶縁膜114を選択的に除去して、第1の層
間絶縁膜112に再びビアホール113を開口する。こ
のエッチングでは、有機ポリマーからなる第2の層間絶
縁膜114をエッチングするため、レジストマスク11
6も同時にエッチングされて除去される。そのため、レ
ジストマスク116の除去工程は特に必要はない。
【0010】その後図7の(6)に示すように、上記第
1、第2の層間絶縁膜112,114をマスクにして、
ビアホール113の底部に露出しているパッシベーショ
ン膜111をエッチングする。このようにして、デュア
ルダマシン構造の配線溝119およびビアホール113
が形成される。
【0011】また配線幅の微細化、ピッチの縮小化は、
配線自体の縦横比を大きくするだけでなく、配線間のス
ペース(空いている部分)のアスペクト比を大きくし、
結果として、縦に細長い配線を形成する技術、微細な配
線間を層間絶縁膜で埋め込む技術などに負担がかかり、
プロセスを複雑にすると同時に、プロセス数の増大を招
いている。
【0012】リフロースパッタリングによって、アルミ
ニウム系金属または銅系金属でビアホールと配線溝とを
同時に埋め込んだ後、化学的機械研磨(以下、CMPと
いう、CMPはChemical Mechanical Polishing の略)
法によって、ビアホールおよび配線溝が形成されている
層間絶縁膜上の余分な金属を除去するダマシンプロセス
では、高アスペクト比の金属配線をエッチングで形成す
ることも、配線間の狭隙を層間絶縁膜で埋め込む必要も
なく、大幅にプロセス数を減らすことが可能である。こ
のプロセスは、配線アスペクト比が高くなるほど、また
配線総数が増大するほど、総コストの削減に大きく寄与
する。
【0013】一方、低誘電率な層間絶縁膜は、配線間の
容量を低減するため、0.18μmルール以下のデバイ
スに適用される。また比誘電率が2.5以下の膜は、従
来のデバイスに用いられている酸化シリコン膜と膜質が
大きく異なるために、それらの低誘電率膜に対応したプ
ロセス技術が求められている。
【0014】
【発明が解決しようとする課題】比誘電率が3.0を下
回る低誘電率膜の多くは、炭素を含む有機膜であり、そ
れが従来の層間絶縁膜に代わって採用される。その層間
絶縁膜に使用された有機膜に接続孔を開ける際、酸素を
用いる必要がある。しかしながら、従来の半導体装置の
製造プロセスで用いられてきたパターニング技術では、
有機膜のレジストを用いるため、そのレジストを除去す
る工程で低誘電率膜が損傷を受けるという問題がある。
それは低誘電率膜の組成がレジストの組成に近いので、
レジスト除去プロセスの際に低誘電率膜も除去される可
能性があるためである。
【0015】また、最近になって、2.0以下の比誘電
率が期待できる材料としてキセロゲルの半導体装置への
応用が注目されている。このキセロゲルはシリカゲルと
いう名称で乾燥剤に使われるなど、一般に広く知られた
材料である。このキセロゲルを半導体装置へ応用するに
は、様々な信頼性に対する要求のため、現状では半導体
装置に適用することは困難である。すなわち、キセロゲ
ルはその積の50%〜90%が気泡であり、特に機械
的強度に問題がある。
【0016】さらに上記図7によって説明したようなプ
ロセスでは、前記図7の(2)によって説明した工程
で、ビアホール内に第2の層間絶縁膜が埋め込まれる。
そのため、前記図7の(5)によって説明した工程で
は、ビアホール内の第2の層間絶縁膜が完全に除去され
るまでエッチングを続ける必要があるので、配線溝底部
やマスク層にオーバエッチングが多く加わる。その結
果、配線溝底部やマスク層の肩部がスパッタリング現象
によって削り取られ、形状のよい配線溝やビアホールを
得ることが困難になっている。また配線溝間隔が狭い場
合には、マスク層の肩落ちのために隣接する配線溝がつ
ながってしまい、配線間ショートなどの不良原因にな
る。
【0017】また、前記図7によって説明したプロセス
技術では、ミスアライメントによってビアホールより配
線溝がはみ出して形成された場合、ビアホールのコンタ
クト面積が小さくなり、コンタクト抵抗の上昇、ビアホ
ール内への金属の埋め込み不良、エレクトロマイグレー
ション耐性の悪化などを生じることになる。以下に、上
記ミスアライメントの詳細を図8によって説明する。
【0018】前記図7の(1)、(2)によって説明し
たのと同様に、 図8の(1)に示すように、基板11
0にパッシベーション膜111を形成した後、第1の層
間絶縁膜112を形成し、さらに、その第1の層間絶縁
膜112にビアホール113を形成する。次いで、図8
の(2)に示すように、上記第1の層間絶縁膜112上
に上記ビアホール113を埋め込む第2の層間絶縁膜1
14を形成する。
【0019】次いで図8の(3)に示すように、第2の
層間絶縁膜114上にマスク層115を形成した後、そ
のマスク層115上に配線溝パターンを形成するために
用いるレジストマスク116を形成する。次いでそのレ
ジストマスク116に配線溝パターンを形成するための
開口部117を形成する。その際に、ミスアライメント
のために上記開口部117がビアホール113に対して
ずれて形成されたとする。
【0020】続いて図8の(4)に示すように、上記レ
ジストマスク116をエッチングマスクに用いたエッチ
ングによりマスク層115に配線溝パターン118を形
成する。
【0021】さらに図8の(5)に示すように、上記レ
ジストマスク116[前記図8の(4)参照]および上
記マスク層115をエッチングマスクに用いて第2の層
間絶縁膜114をエッチングし、配線溝119を形成す
るとともに、上記ビアホール113内部に埋め込まれた
第2の層間絶縁膜114を選択的に除去して、第1の層
間絶縁膜112に再びビアホール113を開口する。こ
のとき、ミスアライメントのためにビアホール113に
対して配線溝119がずれて形成されるため、ビアホー
ル113内の一部に第2の層間絶縁膜114が残ってビ
アホール113の一部を塞ぎ開口面積を小さくする。
【0022】その後図8の(6)に示すように、上記第
1、第2の層間絶縁膜112,114をマスクにして、
ビアホール113の底部に露出しているパッシベーショ
ン膜111をエッチングする。上記説明したように、デ
ュアルダマシン構造の配線溝119およびビアホール1
13が形成すると、ビアホール113内の一部を第2の
層間絶縁膜114が塞いでいるため、コンタクト面積が
小さくなって、コンタクト抵抗の上昇を来たすことにな
る。
【0023】
【課題を解決するための手段】本発明は、上記課題を解
決するためになされた半導体装置の製造方法であって、
すなわち、キセロゲル膜もしくは有機膜を含む層間絶縁
膜を備えた半導体装置の製造方法において、層間絶縁膜
上にその層間絶縁膜をエッチングする際のエッチングマ
スクとなる第1のマスクを形成する工程と、第1のマス
ク上に層間絶縁膜をエッチングする際のエッチングマス
クとなるもので第1のマスクとは材質の異なる第2のマ
スクを形成する工程とを備え、前記第1のマスクを、光
透過性を有する材料で形成し、前記第2のマスクを、光
透過性を有する窒化シリコン膜で形成する製造方法であ
る。
【0024】上記半導体装置の製造方法では、層間絶縁
膜上にその層間絶縁膜をエッチングする際にエッチング
マスクとなる第1のマスクを形成する工程と、第1のマ
スク上に層間絶縁膜をエッチングする際のエッチングマ
スクとなるもので第1のマスクとは材質の異なる第2の
マスクを形成する工程とを備えていることから、第1の
マスクをエッチングマスクに用いて層間絶縁膜をエッチ
ングし、次いで第2のマスクをエッチングマスクに用い
て第1のマスクとは異なるパターンで層間絶縁膜をエッ
チングすることが可能になる。
【0025】また、層間絶縁膜のうち、配線層間となる
層間絶縁膜の下層を有機膜で形成し、同一配線層の配線
間となる層間絶縁膜の上層をキセロゲル膜もしくは有機
膜で形成する製造方法である。
【0026】この製造方法では、同一配線層の配線間と
なる層間絶縁膜の上層をキセロゲル膜もしくは有機膜と
して例えばフッ素樹脂膜で形成することにより、最も配
線容量が大きくなる同一配線層における配線間の誘電率
が1.8〜2.4程度とすることができ、配線間容量が
低減される。
【0027】具体的には、配線間隔の最も狭い、特にデ
ザインルールが0.18μm以下の半導体装置におい
て、配線間隔が0.3μm以下になる部分に、キセロゲ
ル膜もしくはフッ素樹脂膜のような有機膜を適用する。
一般に配線間隔が0.3μm以下になる部分は配線間容
量が顕著に増加するが、キセロゲル膜もしくはフッ素樹
脂のような有機膜を用いることによって、配線間容量を
低減する効果が得られる。
【0028】一方、0.3μmよりも広い配線間隔(例
えば上下の配線間)では、容量の増大に係わる大きな影
響はない。したがって、0.3μmよりも広い配線間隔
の部分には、比誘電率が3以下の有機膜を用いれば十分
である。当然のことながら、この有機膜にもフッ素樹脂
膜を用いてもよい。このように、本製造方法では、配線
間隔の微細化が図れる。また、配線間だけにキセロゲル
膜もしくはフッ素樹脂のような有機膜を用い、その他の
部分には比誘電率が3以下の低誘電率の有機膜を用いる
ことにより、層間絶縁膜全体の機械的強度が著しく劣化
することが抑制される。なお、層間絶縁膜の上層を有機
膜で形成した場合には層間絶縁膜の下層を無機膜で形成
することが可能になり、その場合も、上記同様なる作用
が得られる。
【0029】また、第2のマスクには配線溝を形成する
ためのパターンを形成し、第1のマスクには配線溝を形
成するためのパターンに少なくとも重なるように接続孔
を形成するためのパターンを形成する。すなわち、層間
絶縁膜上に第1のマスクを形成するための第1の膜を形
成した後、第1の膜上に第2のマスクを形成するための
第2の膜を形成する工程と、第2の膜に配線溝を形成す
るためのパターンを形成して第2のマスクを形成する工
程と、配線溝を形成するためのパターンに少なくとも一
部が重なるように接続孔を形成するためのパターンを第
1の膜に形成して第1のマスクを形成する工程とを備え
た製造方法である。
【0030】この製造方法では、第1、第2のマスクを
形成する際に用いるレジストプロセスは、層間絶縁膜が
露出していない状態で行うことが可能である。すなわ
ち、第2のマスクを形成する際のレジストプロセスで
は、下地に第1の膜が形成された状態にあるため、また
第1のマスクを形成する際のレジストプロセスでは、そ
の第1の膜が層間絶縁膜を覆っているために、レジスト
プロセスの再生処理を行うことが可能になる。
【0031】また、接続孔を形成するためのパターンを
第1のマスク形成する際にミスアライメントが生じた
としても、第2のマスクにも接続孔を形成するためのパ
ターンを形成することが可能になるため、前記図8によ
って説明したような接続孔の開口面積が狭くなるような
ことはない。
【0032】さらに、第1のマスクおよび第2のマスク
を、光透過性を有する材料で形成することから、露光の
際にマスクの位置を下地に合わせる、いわゆるマスクア
ライメントを、光を用いたアライメントや画像処理を用
いたアライメントで行うことができる。
【0033】
【発明の実施の形態】本発明の半導体装置の製造方法に
係わる第1の実施の形態を、図1および図2の製造工程
図によって説明する。
【0034】図1の(1)に示すように、下地基板11
は、一例として、基板51上にトランジスタ(図示省
略)が形成され、それを覆う層間絶縁膜52中に配線5
3が形成されたものである。この下地基板11上に層間
絶縁膜12の下層部分となる第1の低誘電率膜13を、
例えば300nm〜800nmの厚さに形成する。この
第1の低誘電率膜13は配線層間の層間絶縁膜(IL
D:Inter Level Dielectrics )になるもので、比誘電
率が2.5程度の有機膜で形成することができる。この
実施の形態では、一例として、ポリアリールエーテルと
総称される有機ポリマーを用いた。このポリアリールエ
ーテルには、例えばアライドシグナル社製のFLAR
E、ダウケミカル社製のSiLK、シューマッカー社製
のVELOX等がある。その他には、BCB膜、ポリイ
ミド膜、アモルファスカーボン膜などを用いることも可
能である。
【0035】上記有機ポリマーの形成には、例えば、前
駆体を回転塗布により下地基板11上に成膜した後、3
00℃〜450℃でキュアを行って形成した。なお、下
地基板11の表面状態が疎水性の状態で有機膜との密着
性が悪い場合、もしくは、銅の拡散を防止するために、
図示はしていないが、酸化シリコン膜、酸化窒化シリコ
ン膜、炭化シリコン膜もしくは窒化ケイ素化チタン膜を
形成した。
【0036】上記酸化シリコン膜は、例えば、回転塗布
法を用い、市販の無機SOG(シラノールを主成分とす
るSOGもしくはシラノールを含むポリマーを主成分と
するSOG)を、例えば30nm〜100nmの厚さに
形成する。この際、回転塗布後は、150℃〜200℃
で1分間程度のベーキングを行い、さらに350℃〜4
50℃で30分〜1時間程度のキュアを行う。
【0037】なお、上記酸化シリコン膜は、市販のプラ
ズマCVD装置を用いて、プラズマCVD法によって形
成してもよい。ただし、上記配線53が銅配線の場合に
は、通常のプラズマCVD法を用いて酸化シリコン膜の
成膜を行うことは、銅配線が酸化するために好ましくは
ない。しかしながら、酸化剤として一酸化二窒素(N 2
O)ガスを用い、シリコン源としてシラン系ガス〔モノ
シラン(SiH4 )、ジシラン(Si2 6 )もしくは
トリシラン(Si3 8 )〕を用い、基板温度を300
℃〜400℃に設定し、プラズマパワーを350W、成
膜雰囲気の圧力を1kPa程度に設定して成膜を行うこ
とにより銅配線を極力酸化させないようにすることがで
きる。
【0038】一方、窒化酸化シリコン膜の場合には、例
えば、回転塗布法を用い、アミノ基を有する市販の無機
SOGを成膜することもよい。好ましくは、プラズマC
VD法を用いて成膜を行う。その際に使用するガスとし
ては、一例として、シリコン源には、シラン系ガス〔モ
ノシラン(SiH4 )、ジシラン(Si2 6 )もしく
はトリシラン(Si3 8 )〕を用い、窒化剤にはアン
モニア、ヒドラジン等を用い、酸化剤としては、一酸化
二窒素(N2 O)を用い、キャリアガスには、窒素、ヘ
リウム、アルゴン等の不活性なガスを用いる。また、成
膜条件は、一例として、基板温度を300℃〜400℃
に設定し、プラズマパワーを350W、成膜雰囲気の圧
力を1kPa程度に設定する。
【0039】上記窒化シリコン膜の場合には、上記窒化
酸化シリコン膜と同様に、アミノ基を有する市販の無機
SOGを回転塗布法によって成膜することができる。好
ましくは、プラズマCVD法を用いて成膜を行う。その
際に使用するガスとしては、一例として、シリコン源に
は、シラン系ガス〔モノシラン(SiH4 )、ジシラン
(Si2 6 )、トリシラン(Si3 8 )等〕を用
い、窒化剤にはアンモニア、ヒドラジン等を用い、酸化
剤としては、一酸化二窒素(N2 O)を用い、キャリア
ガスには、窒素、ヘリウム、アルゴン等の不活性なガス
を用いる。また、成膜条件は、一例として、基板温度を
300℃〜400℃に設定し、プラズマパワーを350
W、成膜雰囲気の圧力を1kPa程度に設定する。
【0040】上記炭化シリコン膜を形成するには、一例
として、平行平板型プラズマCVD装置を用い、その際
に使用するガスとしては、一例として、シリコン源に
は、メチルシランを用いる。また成膜条件としては、一
例として、基板温度を300℃〜400℃に設定し、プ
ラズマパワーを150W〜350W、成膜雰囲気の圧力
を100Pa〜1kPa程度に設定する。
【0041】次に、上記第1の低誘電率膜13上に、層
間絶縁膜12の上層部分となる第2の低誘電率膜14
を、例えば400nmの厚さに成膜する。この第2の低
誘電率膜14はフッ素樹脂で形成する。その一例として
は、フルオロカーボン膜(環状フッ素樹脂、テフロン
(PTFE)、アモルファステフロン〔例えば、デュポ
ン社製:テフロンAF(商品名)〕、フッ化アリールエ
ーテルもしくはフッ化ポリイミドを用いることができ
る。または、キセロゲル(例えばポーラスシリカ)を用
いることができる。
【0042】上記フッ素樹脂を成膜するには、回転塗布
装置により第1の低誘電率膜13上に上記フッ素樹脂の
前駆体を塗布し、その後、300℃〜450℃でキュア
する。なお、フッ素化アモルファスカーボン等の材料は
アセチレン(C2 2 )、フルオロカーボンガス〔例え
ばオクタフルオロブタン(C4 8 )〕をプロセスガス
に用いたプラズマCVD法により成膜することが可能で
ある。この場合も成膜後に300℃〜450℃でキュア
する。なお、上記アモルファステフロンはテフロンAF
に限定されることはなく、下記の化学式(1)に示す構
造を有するものであれば何でもよい。
【0043】
【化1】
【0044】上記第2の低誘電率膜14としては、シク
ロポリマライズドフロリネーテッドポリマー系樹脂〔例
えばサイトップ(商品名)〕を用いることも可能であ
る。シクロポリマライズドフロリネーテッドポリマー系
樹脂は上記サイトップに限定されることはなく、下記の
化学式(2)に示す構造を有するものであれば何でもよ
い。
【0045】
【化2】
【0046】上記第2の低誘電率膜14としては、フッ
化ポリアリルエーテル系樹脂〔例えばFLARE(商品
名)〕を用いることも可能である。フッ化ポリアリルエ
ーテル系樹脂は上記FLAREに限定されることはな
く、下記の化学式(3)に示す構造を有するものであれ
ば何でもよい。
【0047】
【化3】
【0048】また、上記第2の低誘電率膜14に上記キ
セロゲルを用いる場合には、一例として、ナノグラス社
が開発したNanoporous Silicaを、同
社が開発した回転塗布装置を用いて成膜を行った。上記
Nanoporous Silicaはポーラスシリカ
の1種で、本発明で用いることができるキセロゲルは、
上記Nanoporous Silicaに限定される
ことはない。すなわち、芳香族などの比較的高分子のア
ルキル基を有するシラノール樹脂を基板上に塗布し、そ
れをゲル化させ、シランカップリング剤もしくは水素化
処理を用いて疎水化処理を行って形成したものであれ
ば、どのようなキセロゲルであっても適用することがで
きる。
【0049】このようにして、下地基板11上に、第1
の低誘電率膜13と第2の低誘電率膜14とからなる層
間絶縁膜12を形成した。
【0050】次に図1の(2)に示すように、上記層間
絶縁膜12、すなわち、上記第2の低誘電率膜14上
に、無機マスクとして、第1のマスクを形成するための
第1の膜15を、例えば50nm〜300nmの厚さの
酸化シリコン膜で形成した。次いで第2のマスクを形成
するための第2の膜16を、例えば50nm〜150n
mの厚さの窒化シリコン膜で形成した。それらの膜の成
膜方法は、一般的なCVD装置を用い、前記説明したの
と同様なる条件を用いて成膜を行った。
【0051】また酸化シリコン膜を形成する前には、必
要に応じて、特に第2の低誘電率膜15の酸化が問題と
なる場合には、窒化シリコン膜、アモルファス窒化シリ
コン膜、酸化シリコン膜もしくは化学量論よりシリコン
が多い酸化シリコン膜を形成することが好ましい。すな
わち、還元性雰囲気でCVD膜を形成する。膜厚はでき
るだけ薄いほうが好ましく、10nm程度とする。この
ように、第1の膜15をアライメントに用いる波長領域
(例えば200nm〜1000nm)の光透過性に優れ
た酸化シリコン膜で形成し、第2の膜16もアライメン
トに用いる波長領域(例えば200nm〜1000n
m)の光透過性を有する窒化シリコン膜で形成してい
る。
【0052】
【0053】次に、図1の(3)に示すように、上記第
2の膜16上に通常のレジスト塗布技術(例えば回転塗
布法)を用いてレジスト膜17を成膜する。その後、リ
ソグラフィー技術により、レジスト膜17をパターニン
グして、配線溝を形成するための開口部18を形成す
る。
【0054】続いて、上記レジスト膜17をエッチング
マスクに用いて、上記第2の膜16のみをエッチング
し、配線溝を形成するための開口部19を形成して、層
間絶縁膜12に配線溝を形成する際のエッチングマスク
となる第2のマスク21を形成する。このエッチング
は、例えば一般的なマグネトロン方式のエッチング装置
を用いて、第2の膜16のみを選択的にエッチングす
る。第2の膜16が窒化シリコン膜で形成されている場
合のエッチング条件としては、一例として、エッチング
ガスに、トリフルオロメタン(CHF3 )(5cm3
min)と酸素(O2)(5cm3 /min)とアルゴ
ン(Ar)(20cm3 /min)とを用い、RFプラ
ズマを600Wに設定する。その後、レジスト膜17を
アッシングにより除去する。なお、図1の(3)では、
レジスト膜17を除去する前の状態を示した。
【0055】次に図1の(4)に示すように、上記第2
の膜16および第1の膜15上に通常のレジスト塗布技
術(例えば回転塗布法)を用いてレジスト膜22を成膜
する。その後、リソグラフィー技術により、レジスト膜
22をパターニングして、接続孔を形成するための開口
部23を、第2の膜16を平面視的にみて上記配線溝を
形成するための開口部19内に納まるように形成する。
【0056】続いて、上記レジスト膜22をエッチング
マスクに用いて、上記第1の膜15のみをエッチング
し、層間絶縁膜12に接続孔を形成するための開口部2
4を形成して、層間絶縁膜12に接続孔を形成する際の
エッチングマスクとなる第1のマスク25を形成する。
【0057】続いて、上記レジスト膜22をエッチング
マスクに用いて層間絶縁膜12の第2の低誘電率膜14
を、一般的なエッチング装置を用いてエッチングする。
このエッチング条件は、一例として、エッチングガス
に、ヘキサフルオロエタン(C 2 6 )(14cm3
min)と一酸化炭素(180cm3 /min)とアル
ゴン(240cm3 /min)とを用い、RFプラズマ
を1.5kWに設定する。なお、第2の低誘電率膜14
の下層には有機膜の第1の低誘電率膜13があるので、
このエッチングは第1の低誘電率膜13上で停止され
る。
【0058】さらに、図1の(5)に示すように、第1
のマスク膜25をエッチングマスクに用いて、一般的な
エッチング装置を用いて、第1の低誘電率膜13をエッ
チングし、層間絶縁膜12に接続孔26を形成する。こ
のエッチングにおけるエッチングガスには、窒素を用
い、必要に応じて、アンモニア、水素ガスを用いる。こ
のとき、レジスト膜22〔前記図1の(4)参照〕は有
機膜である第1の低誘電率膜13をエッチングする際
に、エッチングされて完全に除去される。したがって、
ここでは、レジストアッシングを行う必要はない。
【0059】次に、図1の(6)に示すように、窒化シ
リコン膜からなる第2のマスク21を用いて、まず、第
1のマスク25をエッチングし、さらに第2の低誘電率
膜14をエッチングして、第2の低誘電率膜14に配線
溝27を形成する。このときのエッチング条件は前述の
第2の低誘電率膜14をエッチングする条件と同様であ
る。
【0060】次に、図2の(7)に示すように、ダマシ
ン法で配線を形成する。まず、スパッタリングもしくは
CVD法によって、配線溝27および接続孔26の各内
壁に窒化タンタル等のバリアメタル層31を形成する。
その際、バリアメタル層31は、第2のマスク21上に
も成膜される。次いで、スパッタリングもしくはCVD
法もしくは電解メッキ法によって、配線材料(金属)、
例えば銅を堆積する。なお、電解メッキ法で金属32を
堆積する場合には、予め、堆積する金属32と同種の金
属でシード層(図示省略)を形成しておく。
【0061】その後、例えばCMPによって、第2のマ
スク21上の余分な金属32およびバリアメタル層31
を研磨して除去し、図2の(8)に示すように、配線溝
27内にバリアメタル層31を介して金属32からなる
配線33を形成し、接続孔26内にバリアメタル層31
を介して金属32からなるプラグ34を形成する。その
際に、第2のマスク21が研磨ストッパとなるが、第2
のマスク21の厚さによっては、第2のマスク21は完
全に除去されることがある。このCMPでは、一例とし
て、アルミナスラリーを用いた。
【0062】図示はしないが、さらに上記層間絶縁膜1
2の形成工程から配線33およびプラグ34の形成工程
までを繰り返し行うことによって、多層配線を形成する
ことが可能になる。また、上記配線53間の層間絶縁膜
52の部分も、上記同様のプロセスによって、キセロゲ
ル膜もしくはフッ素樹脂膜で形成することが可能であ
る。
【0063】上記説明では、半導体素子が形成された下
地基板11上に層間絶縁膜12を形成した例を説明した
が、半導体素子が形成されていない基板上に上記説明し
た構成の層間絶縁膜12、接続孔26、配線溝27、配
線33、プラグ34等を形成する場合にも上記製造方法
を適用することは可能である。
【0064】上記半導体装置の製造方法では、層間絶縁
膜12上にその層間絶縁膜12をエッチングする際にエ
ッチングマスクとなる第1のマスク25を形成する工程
と、第1のマスク25上に層間絶縁膜12をエッチング
する際のエッチングマスクとなるもので第1のマスク2
5とは材質の異なる第2のマスク21を形成する工程と
を備えていることから、第1のマスク25をエッチング
マスクに用いて層間絶縁膜12をエッチングして接続孔
26を形成する。次いで第2のマスク21をエッチング
マスクに用いて第1のマスク25とは異なる配線溝を形
成するパターンで層間絶縁膜12の上層、すなわち第2
の低誘電率膜14をエッチングして配線溝27を形成す
ることが可能になる。
【0065】また、同一配線層の配線間となる層間絶縁
膜の上層、すなわち第2の低誘電率膜14をキセロゲル
膜もしくはフッ素樹脂膜で形成することから、最も配線
容量が大きくなる同一配線層における配線間の誘電率が
1.8〜2.4程度となり、配線間容量が低減される。
具体的には、配線間隔の最も狭い、特にデザインルール
が0.18μm以下の半導体装置においては、配線間隔
が0.3μm以下になる部分に、キセロゲル膜もしくは
フッ素樹脂膜を適用する。一般に配線間隔が0.3μm
以下になる部分は配線間容量が顕著に増加するが、キセ
ロゲル膜もしくはフッ素樹脂膜を用いることによって、
配線間容量を低減する効果が得られる。
【0066】一方、0.3μmよりも広い配線間隔(例
えば配線53と配線33との間)では、容量の増大に係
わる大きな影響はない。したがって、0.3μmよりも
広い配線間隔の部分には、比誘電率が3以下の有機膜を
用いれば十分である。このように、本製造方法では、配
線間隔の微細化が図れる。また、配線間だけにキセロゲ
ルもしくはフッ素樹脂を用い、その他の部分には低誘電
率の有機膜を用いることにより、層間絶縁膜全体の機械
的強度が著しく劣化することが抑制される。
【0067】また層間絶縁膜12が露出していない状態
で第1、第2のマスク25、21を形成する際に用いる
レジストプロセス(レジスト膜をパターニングする工
程)を行うことが可能である。すなわち、第2のマスク
21を形成する際のレジストプロセスでは、下地に第1
の膜15が形成された状態にあるため、また第1のマス
ク25を形成する際のレジストプロセスでは、その第1
の膜15が層間絶縁膜12を覆っているために、有機膜
の層間絶縁膜12を露出させることなく、レジストプロ
セスで形成したレジスト膜17、22を除去して再びレ
ジスト膜17、22を形成してパターニングを行うレジ
ストの再生処理が可能になる。さらに第1のマスクを形
成する際に用いたエッチングマスクとなるレジスト膜2
2を第1の低誘電率膜13のエッチングと同時に除去す
ることが可能になるので、レジスト膜22をアッシング
により除去する作業を必要としない。そのため、プロセ
スが簡単になる。
【0068】また、接続孔を形成するためのパターンと
なる開口部24を第1のマスク25に形成する際のレジ
ストプロセスにおいて、すなわち、レジスト膜22に開
口部23を形成するプロセスにおいて、ミスアライメン
トが生じて配線溝を形成するためのパターンとなる開口
部19に対してレジスト膜22に形成される開口部23
がはみ出して形成されたとしても、第2のマスク21に
も接続孔を形成するためのパターンとなる開口部(図示
せず)を形成することが可能になるため、前記図8によ
って説明したように、開口面積が狭くなるように接続孔
が形成されることはない。
【0069】さらに、第1のマスク25になる第1の膜
15を光透過性の材料、ここでは酸化シリコン膜で形成
することにより、また第2のマスクになる第2の膜16
を光透過性の材料、ここでは窒化シリコン膜で形成する
ことにより、その後の露光工程において、マスクの位置
を下地に合わせる、いわゆるマスクアライメントを通常
の光を用いたアライメントや画像処理を用いたアライメ
ントで行うことができるようになっている。なお、酸化
シリコン膜、窒化シリコン膜等は、アライメントに用い
る波長領域(200nm〜1000nm)の光を透過す
ることは知られている。
【0070】またさらに、材料の特性の相違を利用する
ことによって、従来から用いられていた誘電率の高いエ
ッチングストッパ層(例えば窒化シリコン膜、酸化シリ
コン膜または酸化窒化シリコン膜)を用いる必要がな
い。例えば、第2の低誘電率膜14(キセロゲル膜もし
くはフッ素樹脂膜)をエッチングする条件で、第1の低
誘電率13(有機膜)がエッチングされない条件を選択
すれば、配線溝27を配線層となる第2の低誘電率膜1
4に制御性良くエッチングにより形成することが可能で
ある。また、接続孔26を形成するためのエッチングを
行う際には、上記説明したように、キセロゲルもしくは
フッ素樹脂からなる第2の低誘電率膜14をエッチング
すると同時に有機膜の第1の低誘電率膜13もエッチン
グすればよい。
【0071】次に、本発明の半導体装置の製造方法に係
わる第2の実施の形態を、図4の製造工程図によって説
明する。図3では、前記図1および図2に示した構成部
品と同様のものには同一符号を付与する。
【0072】図3の(1)に示すように、前記図1の
(1)によって説明したのと同様に、下地基板11は、
一例として、基板51上にトランジスタ(図示省略)が
形成され、それを覆う層間絶縁膜52中に配線53が形
成されたものである。この下地基板11上に層間絶縁膜
12の下層部分となる第1の低誘電率膜13を、例えば
300nm〜800nmの厚さの低誘電率な無機膜で形
成する。
【0073】次に、上記第1の低誘電率膜13上に、層
間絶縁膜12の上層部分となる第2の低誘電率膜14
を、例えば400nmの厚さに成膜する。この第2の低
誘電率膜14はフッ素樹脂で形成する。このフッ素樹脂
としては、前記第1の実施の形態で説明したような材料
を用いることが可能である。
【0074】このようにして、下地基板11上に、第1
の低誘電率膜13と第2の低誘電率膜14とからなる層
間絶縁膜12を形成した。
【0075】次に、上記層間絶縁膜12、すなわち、上
記第2の低誘電率膜14上に、無機マスクとして、第1
のマスクを形成するための第1の膜15を、例えば50
nm〜300nmの厚さの酸化シリコン膜で形成した。
次いで第2のマスクを形成するための第2の膜16を、
例えば50nm〜150nmの厚さの窒化シリコン膜で
形成した。それらの膜の成膜方法は、前記第1の実施の
形態で説明したのと同様である。
【0076】次いで、前記図1の(3)によって説明し
たのと同様にして、上記第2の膜16のみをエッチング
し、配線溝を形成するための開口部19を形成して、層
間絶縁膜12に配線溝を形成する際のエッチングマスク
となる第2のマスク21を形成する。
【0077】次に、上記第2の膜16および第1の膜1
5上に通常のレジスト塗布技術(例えば回転塗布法)を
用いてレジスト膜22を成膜する。その後、リソグラフ
ィー技術により、レジスト膜22をパターニングして、
接続孔を形成するための開口部23を、第2の膜16を
平面視的にみて上記配線溝を形成するための開口部19
内に納まるように形成する。
【0078】次いで、上記レジスト膜22をエッチング
マスクに用いて、上記第1の膜15のみをエッチング
し、層間絶縁膜12に接続孔を形成するための開口部2
4を形成して、層間絶縁膜12に接続孔を形成する際の
エッチングマスクとなる第1のマスク25を形成する。
【0079】続いて、上記第1のマスク25をエッチン
グマスクに用いて層間絶縁膜12の第2の低誘電率膜1
4を、一般的なエッチング装置を用いてエッチングす
る。このエッチング条件は、一例として、エッチングガ
スに、窒素(N2 )(48cm 3 /min)とヘリウム
(He)(200cm3 /min)とを用い、マイクロ
波パワーを1.35kW(2.45GHz)、RFパワ
ーを150W、基板温度を−50℃に設定する。なお、
このエッチングでは、レジスト膜22もエッチングされ
て完全に除去されるため、レジスト除去を行う必要はな
い。また、第2の低誘電率膜14の下層には無機膜の第
1の低誘電率膜13があるので、第1の低誘電率膜13
上でエッチングは停止される。
【0080】次に、図3の(2)に示すように、窒化シ
リコン膜(もしくは金属化合物膜)からなる第2のマス
ク21を用いて、まず、第1のマスク25をエッチング
する。その際、第2の低誘電率膜14は有機膜で形成さ
れているため、それがエッチングマスクとなって無機膜
である第1の低誘電率膜13がエッチングされ、接続孔
26が形成される。このエッチングでは、エッチングガ
スには、一例として、オクタフルオロブタン(C
4 8 )と一酸化炭素(CO)とを用いる。
【0081】さらに、図3の(3)に示すように、第2
のマスク21をエッチングマスクに用いて、第2の低誘
電率膜14をエッチングし、配線溝27を形成する。こ
のときのエッチング条件は前述の第2の低誘電率膜14
をエッチングする条件と同様である。なお、このエッチ
ングでは、第1の低誘電率膜13が無機膜であるため、
エッチングは第1の低誘電率膜13上で停止する。
【0082】図示はしないが、その後、前記図2を用い
て前記第1の実施の形態で説明したのと同様にして、配
線溝27内にバリアメタル層を介して配線を形成し、接
続孔26内にバリアメタル層を介してプラグを形成す
る。
【0083】この第2の実施の形態も、前記第1の実施
の形態と同様に、上記層間絶縁膜12の形成工程から配
線およびプラグの形成工程までを繰り返し行うことによ
って、多層配線を形成することが可能になる。また、上
記配線53間の層間絶縁膜52の部分も、上記同様のプ
ロセスによって、キセロゲル膜もしくはフッ素樹脂膜の
ような有機膜で形成することが可能である。
【0084】上記説明では、半導体素子が形成された下
地基板11上に層間絶縁膜12を形成した例を説明した
が、半導体素子が形成されていない基板上に上記説明し
た構成の層間絶縁膜12、接続孔26、配線溝27、配
線、プラグ等を形成する場合にも上記製造方法を適用す
ることは可能である。
【0085】上記第2の実施の形態における半導体装置
の製造方法でも、前記第1の実施の形態における半導体
装置に製造方法と同様なる作用、効果が得られる。
【0086】次に、本発明の半導体装置の製造方法に係
わる第3の実施の形態を、図4の製造工程図によって説
明する。図4では、前記図1に示した構成部品と同様の
ものには同一符号を付与する。
【0087】図4の(1)に示すように、下地基板11
は、一例として、基板51上にトランジスタ(図示省
略)が形成され、それを覆う層間絶縁膜52中に配線5
3が形成されたものである。この下地基板11上に層間
絶縁膜12の下層部分となる第1の低誘電率膜13を、
例えば300nm〜800nmの厚さに形成する。この
第1の低誘電率膜13は配線層間の層間絶縁膜(IL
D:Inter Level Dielectrics )になるもので、比誘電
率が2.5程度の有機膜で形成することができる。一例
として、前記第1の実施の形態で説明したのと同様なる
材料で、同様の成膜方法で形成することができる。
【0088】次いで上記第1の低誘電率膜13上にエッ
チングマスクとなる中間膜41を、例えば酸化シリコン
膜で形成する。その形成方法は、前記第1の実施の形態
で説明した酸化シリコン膜の形成方法と同様の方法を採
用することができる。
【0089】次に、上記中間膜41上に、層間絶縁膜1
2の上層部分となる第2の低誘電率膜14を、例えば4
00nmの厚さに成膜する。この第2の低誘電率膜14
はフッ素樹脂で形成する。その一例としては、フルオロ
カーボン膜(環状フッ素樹脂、テフロン(PTFE)、
アモルファステフロン〔例えば、デュポン社製:テフロ
ンAF(商品名)〕、フッ化アリールエーテル、フッ化
ポリイミド等のような前記第1の実施の形態で説明した
材料を用いることができる。または、キセロゲル(例え
ばポーラスシリカ)を用いることができる。上記フッ素
樹脂を成膜方法は、前記第1の実施の形態で説明したの
と同様の方法を用いる。もしくは、第2の低誘電率膜1
4をキセロゲル膜で形成する。キセロゲル膜の成膜方法
は、前記第1の実施の形態で説明したのと同様の方法を
用いる。
【0090】このようにして、下地基板11上に、第1
の低誘電率膜13と中間膜41と第2の低誘電率膜14
とからなる層間絶縁膜12を形成した。
【0091】次に図4の(2)に示すように、上記層間
絶縁膜12、すなわち、上記第2の低誘電率膜14上
に、無機マスクとして、第1のマスクを形成するための
第1の膜15を、例えば50nm〜300nmの厚さの
酸化シリコン膜で形成した。次いで第2のマスクを形成
するための第2の膜16を、例えば50nm〜150n
mの厚さの窒化シリコン膜で形成した。それらの膜の成
膜方法は、前記第1の実施の形態で説明したのと同様の
方法を用いることができる。
【0092】また酸化シリコン膜を形成する前には、必
要に応じて、特に第2の低誘電率膜15の酸化が問題と
なる場合には、窒化シリコン膜、アモルファスシリコ
ン、窒化酸化シリコン膜もしくは化学量論よりシリコン
が多い酸化シリコン膜を形成することが好ましい。すな
わち、還元性雰囲気でCVD膜を形成する。膜厚はでき
るだけ薄いほうが好ましく、10nm程度に形成する。
このように、第1の膜15をアライメントに用いる波長
領域(例えば200nm〜1000nm)の光透過性に
優れた酸化シリコン膜で形成し、第2の膜16もアライ
メントに用いる波長領域(例えば200nm〜1000
nm)の光透過性を有する窒化シリコン膜で形成してい
る。
【0093】次に、図4の(3)に示すように、上記第
2の膜16上に通常のレジスト塗布技術(例えば回転塗
布法)を用いてレジスト膜17を成膜する。その後、リ
ソグラフィー技術により、レジスト膜17をパターニン
グして、配線溝を形成するための開口部18を形成す
る。
【0094】続いて、上記レジスト膜17をエッチング
マスクに用いて、上記第2の膜16のみをエッチング
し、配線溝を形成するための開口部19を形成して、層
間絶縁膜12に配線溝を形成する際のエッチングマスク
となる第2のマスク21を形成する。このエッチング
は、前記第1の実施の形態で説明したのと同様の方法で
行うことが可能である。その後、レジスト膜17をアッ
シングにより除去する。なお、図1の(3)では、レジ
スト膜17を除去する前の状態を示した。
【0095】次に図4の(4)に示すように、上記第2
の膜16および第1の膜15上に通常のレジスト塗布技
術(例えば回転塗布法)を用いてレジスト膜22を成膜
する。その後、リソグラフィー技術により、レジスト膜
22をパターニングして、接続孔を形成するための開口
部23を、例えば第2の膜16を平面視的にみて上記配
線溝を形成するための開口部19内に納まるように形成
する。なお、マスク合わせずれが発生した場合であって
も、開口部23を少なくとも一部が開口部19に重なる
ように形成することが必要である。
【0096】続いて、上記レジスト膜22をエッチング
マスクに用いて、上記第1の膜15のみをエッチング
し、層間絶縁膜12に接続孔を形成するための開口部2
4を形成して、層間絶縁膜12に接続孔を形成する際の
エッチングマスクとなる第1のマスク25を形成する。
【0097】続いて、上記第1のマスク25をエッチン
グマスクに用いて層間絶縁膜12の第2の低誘電率膜1
4を、一般的なエッチング装置を用いてエッチングす
る。このエッチング条件は、一例として、エッチングガ
スに、窒素を用い、必要に応じて、アンモニア、水素ガ
スを用いる。なお、このエッチングでは、炭化フッ素系
のガス、一酸化炭素(CO)は必ずしも必要としない。
また、第2の低誘電率膜14の下層には酸化シリコン膜
の中間膜41があるので、上記エッチングは中間膜41
上で停止される。なお、このエッチングでは、レジスト
膜22は有機膜である第2の低誘電率膜14をエッチン
グする間に、エッチングされて完全に除去される。した
がって、ここでは、レジストアッシングを行う必要はな
い。
【0098】さらに、図4の(5)に示すように、第2
のマスク25と第2の低誘電率膜14をエッチングマス
クに用いて、一般的なエッチング装置を用いて、第1の
マスク25とともに中間膜41をエッチングする。すな
わち、第2のマスク21に形成されている配線溝を形成
するための開口部19を転写するように第1のマスク2
5をエッチングするとともに、中間膜41に接続孔を形
成するための開口部42をエッチングにより形成する。
このエッチング条件は、一例として、エッチングガス
に、オクタフルオロブタン(C4 8 )(5cm3 /m
in)と一酸化炭素(5cm3 /min)とアルゴン
(20cm3 /min)とを用い、RFプラズマを60
0Wに設定する。
【0099】次に、図4の(6)に示すように、第1の
マスク25(第2のマスク21)および中間膜41をエ
ッチングマスクに用いて第2の低誘電率膜14および第
1の低誘電率膜13をエッチングし、第2の低誘電率膜
14に配線溝27を形成するとともに第1の低誘電率膜
13に接続孔26を形成する。このエッチングにおける
エッチングガスには、窒素(N2 )を用い、必要に応じ
て、アンモニア、水素ガスを用いる。
【0100】図示はしないが、その後、前記図2によっ
て説明したのと同様のプロセスによって、配線溝27内
にバリアメタル層を介して金属からなる配線を形成し、
接続孔26内にバリアメタル層を介して金属からなるプ
ラグを形成する。
【0101】上記図4によって説明した第3の実施の形
態でも、前記図1によって説明した第1の実施の形態と
同様なる作用、効果が得られる。
【0102】なお、上記中間膜41は、窒化酸化シリコ
ン膜、窒化シリコン膜で形成することもできる。また
は、第1の低誘電率膜13に対してエッチングマスクと
なり、第2の低誘電率膜14に対してエッチングストッ
パとなるような有機膜で形成することも可能である。
【0103】なお、上記各実施の形態で説明した、キセ
ロゲル膜、フッ素樹脂膜、その他の有機膜等は、微細化
にともなう配線間容量の増大を抑制する目的から、配線
構造に採用されつつある。その際、比誘電率が3以下の
材料としては有機膜を採用することができ、比誘電率が
2.5以下の有機膜材料としてはフッ素樹脂を採用する
ことができ、比誘電率が2.5以下の材料としては水分
を含まない網目構造をもつゲルであるキセロゲル膜を採
用することができる。
【0104】上記キセロゲルのうち、半導体装置に使え
る膜としては、シリカ系のゲルがある。例えばナノグラ
ス社のナノポーラスシリカという名称のものがある。し
かしながら、この種のキセロゲル膜は、機械的強度、熱
伝導性、耐熱性、耐水性、密着性などが従来の層間絶縁
膜と比較して劣る。特に、熱伝導性が有機膜の1/10
〜1/100と著しく悪い。
【0105】一方、フッ素樹脂は、プラズマCVDによ
り形成した膜〔1997年のIEDM(International
Electron Devices Meeting )で日本電気株式会社が発
表〕、テフロン(デュポン社)、フッ化ポリイミド(デ
ュポン社)などの商品が開発されている。開発中のもの
では、フッ化パリレンの蒸着膜、フッ素樹脂とシリカの
共重合体などが存在する。しかしながら、これらの膜
は、比誘電率が2.5以上の有機ポリマーと比較して、
機械的強度、熱伝導性、耐熱性、密着性などが劣る。
【0106】そこで、本発明では、上記各実施の形態で
説明したように、キセロゲル膜とこのキセロゲルよりも
膜質のよい有機膜との組み合わせ、もしくはフッ素樹脂
膜とこのフッ素樹脂よりも膜質のよい有機膜との組み合
わせで、信頼性のある配線構造を形成することが可能に
なっている。
【0107】すなわち、キセロゲル膜もしくはフッ素樹
脂膜は、微細化により最も配線容量が大きくなる配線間
の部分だけに用い、その他の部分には有機膜や無機低誘
電率膜を用いる。具体的には、配線間隔の最も狭い、特
にデザインルールが0.18μm以下の半導体装置にお
いては、配線間隔が0.3μm以下になる部分で配線間
容量が顕著に増加するため、配線間隔が0.3μm以下
になる部分にキセロゲル膜もしくはフッ素樹脂膜を適用
する。それによって、配線間容量を低減する効果が得ら
れる。一方、0.3μmよりも広い配線間隔(例えば上
下の配線間)では、大きな影響はない。したがって、
0.3μmよりも広い配線間隔の部分には、比誘電率が
0.3以下の有機膜等を用いれば十分である。
【0108】次に、本発明の半導体装置の製造方法に係
わる第4の実施の形態を、第5図の製造工程図によって
説明する。
【0109】図5の(1)に示すように、一例として、
半導体基板にトランジスタ等の半導体素子を形成し、さ
らに配線、絶縁膜等を形成して基板60が構成されてい
る。この基板60の最上層にはパッシベーション膜61
が、例えば、配線材料を拡散させないような材料である
窒化シリコン膜で50nm程度の厚さに形成されてい
る。
【0110】その後順に、接続孔(例えばビアホール)
が形成される第1の層間絶縁膜62を酸化シリコン系の
材料として例えば酸化シリコン(SiO2 )膜(無機
膜)を500nmの厚さに形成し、配線が形成される第
2の層間絶縁膜63を有機系の材料として例えばポリア
リールエーテル膜で500nmの厚さに形成し、第1の
マスクを形成するための第1の膜64を例えば酸化シリ
コン膜で100nmの厚さに形成し、第2のマスクを形
成するための第2の膜65を例えば窒化シリコン膜で1
00nmの厚さに形成する。
【0111】次いで、図5の(2)に示すように、通常
のレジスト塗布工程およびリソグラフィー工程を行っ
て、上記第2の膜65上に配線溝を形成するために用い
るレジストマスク81を形成する。このレジストマスク
81には配線溝を形成するための開口部82を形成して
おく。
【0112】続いて、図5の(3)に示すように、上記
レジストマスク81〔図5の(2)参照〕を用いて第1
の膜65をエッチングし、配線溝を形成するための配線
溝パターン66を開口して第2のマスク67を形成す
る。このエッチングでは、通常の平行平板型プラズマエ
ッチング装置を用い、エッチングガスにはトリフルオロ
メタン(CHF3 )とアルゴン(Ar)と酸素(O2
とを用いた。また基板温度は0℃とした。その後、レジ
ストマスク81〔前記図5の(2)参照〕を除去する。
【0113】次に、図5の(4)に示すように、再び、
通常のレジスト塗布工程およびリソグラフィー工程を行
って、第2のマスク67および配線溝パターン66上に
接続孔(例えばビアホール)を形成するために用いるレ
ジストマスク83を形成する。このレジストマスク83
には接続孔を形成するための開口部84を配線溝パター
ン66に少なくともかかるように形成しておく。
【0114】次いで、図5の(5)に示すように、上記
レジストマスク83をエッチングマスクに用いて第1の
膜64をエッチングして接続孔を形成するための接続孔
パターン68を形成し、第1のマスク69を形成する。
上記第1の膜64のエッチングでは、通常の平行平板型
プラズマエッチング装置を用い、エッチングガスにはオ
クタフルオロシクロブタン(C4 8 )とアルゴン(A
r)と酸素(O2 )とを用いた。また基板温度は0℃と
した。
【0115】さらに図5の(6)に示すように、第1の
マスク69をエッチングマスクに用いて第2の層間絶縁
膜63をエッチングし、接続孔パターン68を延長形成
する。このエッチングでは、レジストマスク83も同時
にエッチングされて除去される。上記第2の層間絶縁膜
63のエッチングでは、通常の高密度プラズマエッチン
グ装置を用い、エッチングガスにはアンモニア(N
3 )を用いた。また基板温度は−20℃とした。
【0116】続いて、図5の(7)に示すように、第2
のマスク67をエッチングマスクにして第1のマスク6
9に配線溝パターン66を延長形成する。それととも
に、第2の層間絶縁膜63をエッチングマスクにして第
1の層間絶縁膜62をエッチングし、接続孔70を形成
する。このエッチングでは、通常の平行平板型プラズマ
エッチング装置を用い、エッチングガスにはオクタフル
オロシクロブタン(C48 )とアルゴン(Ar)と酸
素(O2 )とを用いた。また基板温度は0℃に設定し
た。
【0117】次いで、図5の(8)に示すように、第1
のマスク69をエッチングマスクに用いて第2の層間絶
縁膜63をエッチングして配線溝71を形成する。エッ
チングでは、通常の高密度プラズマエッチング装置を用
い、エッチングガスにはアンモニア(NH3 )を用い
た。また基板温度は−100℃とした。
【0118】その後、図5の(9)に示すように、接続
孔70の底部に露出しているパッシベーション膜61を
エッチングする。このとき、同種の材料で形成されてい
る第2のマスク67〔前記図5の(8)参照〕もエッチ
ングされて除去される。このエッチングでは、窒化シリ
コン膜が選択的に異方性エッチングされるように、通常
の高密度プラズマエッチング装置を用い、エッチングガ
スにサルファーヘキサフルオライド(SF6 )を用い
た。また基板温度は0℃とした。その結果、第2の層間
絶縁膜63に配線溝71が形成され、その配線溝71の
底部に連続して第1の層間絶縁膜62およびパッシベー
ション膜61に接続孔70が形成される。
【0119】上記第1の層間絶縁膜62には、酸化シリ
コン(SiO2 )膜を用いたが、例えば酸フッ化シリコ
ン(SiOF)を用いることも可能である。
【0120】上記第2のマスク層65は、窒化シリコン
膜で形成したが、窒化チタン膜等の高融点金属もしくは
高融点金属化合物膜で形成することも可能である。すな
わち、酸化シリコン系の材料に対してエッチング選択性
を有する材料であれば、いかなる材料も用いることがで
きるが、好ましくは光学的アライメントが可能な光透過
性の膜がよい。
【0121】上記図5によって説明した第4の実施の形
態では、半導体素子が形成された基板60上に第1、第
2の層間絶縁膜62,63を形成した例を説明したが、
半導体素子が形成されていない基板上に上記説明した構
成の第1、第2の層間絶縁膜62,63、接続孔70、
配線溝71等を形成する場合にも上記図5によって説明
した製造方法を適用することは可能である。
【0122】上記第4の実施の形態における半導体装置
の製造方法では、第2の層間絶縁膜63上に第1、第2
の層間絶縁膜62,63をエッチングする際にエッチン
グマスクとなる第1のマスク69を形成する工程と、第
1のマスク69上に第2の層間絶縁膜63をエッチング
する際のエッチングマスクとなるもので第1のマスク6
9とは材質の異なる第2のマスク67を形成する工程と
を備えていることから、第1のマスク69をエッチング
マスクに用いて第1、第2の層間絶縁膜62,63をエ
ッチングして接続孔70を形成する。次いで第2のマス
ク67をエッチングマスクに用いて第1のマスク69と
は異なる配線溝を形成する配線溝パターン66が形成さ
れたで第2のマスク67をエッチングマスクに用いて、
第2の層間絶縁膜63をエッチングして配線溝71を形
成することが可能になる。
【0123】また、酸化シリコン系材料を用いた場合よ
りも同一配線層の配線間の層間絶縁膜となる第2の層間
絶縁膜63を有機ポリマーであるポリアリールエーテル
膜で形成することから、第2の層間絶縁膜63を酸化シ
リコン系材料で形成した場合よりも配線間の誘電率が低
減されるので、配線間容量も低減される。
【0124】また第2の層間絶縁膜63が露出していな
い状態で第1、第2のマスク69、67を形成する際に
用いるレジストプロセス(レジスト膜をパターニングす
る工程)を行うことが可能である。すなわち、第2のマ
スク67を形成する際のレジストプロセスでは、下地に
第1の膜64が形成された状態にあるため、また第1の
マスク69を形成する際のレジストプロセスでは、その
第1の膜64が第2の層間絶縁膜63を覆っているため
に、有機膜の第2の層間絶縁膜63を露出させることな
く、レジストプロセスで形成したレジスト膜81,83
を除去して再びレジスト膜81,83を形成してパター
ニングを行うレジストの再生処理が可能になる。さらに
第1のマスク69を形成する際に用いたエッチングマス
クとなるレジスト膜83を第2の層間絶縁膜63のエッ
チングと同時に除去することが可能になるので、レジス
ト膜83を除去する作業を必要としない。そのため、プ
ロセスが簡単になる。
【0125】また、接続孔70を形成するための接続孔
パターン68を第1の膜64に形成する際のレジストプ
ロセスにおいて、すなわち、レジスト膜83に開口部8
4を形成するプロセスにおいて、ミスアライメントが生
じて第2のマスク67に形成された配線溝パターン66
に対してレジスト膜83に形成される開口部84がはみ
出して形成されたとしても、第2のマスク67にも接続
孔を形成するためのパターンとなる接続孔パターン(図
示せず)を形成することが可能になる。以下にその詳細
を図6によって説明する。なお、図6では上段にレイア
ウト図を示し、下段に断面図を示す。
【0126】図6の(1)に示すように、第2のマスク
67に形成された配線溝パターン66に対して接続孔パ
ターンを形成するためのレジスト膜83に形成された開
口部84がずれて形成されている。このような場合であ
っても、図6の(2)に示すように、レジスト膜83を
エッチングマスクに用いて第2のマスク67をエッチン
グし、さらに第1の膜64をエッチングして、接続孔パ
ターン68を形成し、第1のマスク69を形成する。こ
れにより、第2のマスク67には、配線溝パターン66
と接続孔パターン68とが形成されたことになる。
【0127】次いで図6の(3)に示すように、第1の
マスク69をエッチングマスクに用いて第2の層間絶縁
膜63をエッチングし、接続孔パターン68を延長形成
する。したがって、接続孔パターン68は設計通りの開
口面積を有して形成される。なお、このエッチングで
は、レジストマスク83〔前記図6の(2)参照〕も同
時にエッチングされて除去される。
【0128】続いて、図6の(4)に示すように、第2
のマスク67をエッチングマスクにして第1のマスク6
9に配線溝パターン66を延長形成する。それととも
に、第2の層間絶縁膜63をエッチングマスクにして第
1の層間絶縁膜62をエッチングし、接続孔70を形成
する。その結果、第2の層間絶縁膜63に形成されてい
る接続孔パターン68が設計通りの開口面積を有して形
成されていることから、接続孔70は設計通りの開口面
積を有して形成される。
【0129】次いで、図6の(5)に示すように、第1
のマスク69をエッチングマスクに用いて第2の層間絶
縁膜63をエッチングして配線溝71を形成する。その
後、図6の(6)に示すように、接続孔70の底部に露
出しているパッシベーション膜61をエッチングする。
このとき、同種の材料で形成されている第2のマスク6
7〔前記図6の(5)参照〕もエッチングされて除去さ
れる。その結果、第2の層間絶縁膜63に配線溝71が
形成され、その配線溝71の底部に連続して第1の層間
絶縁膜62およびパッシベーション膜61に接続孔70
が形成される。上記説明したように、第2の層間絶縁膜
63に形成されている接続孔パターン68が設計通りの
開口面積を有して形成されること、および接続孔70を
形成した後に層間絶縁膜を接続孔70の内部に埋め込む
ことを行わないことによって、前記図8によって説明し
たように、開口面積が狭くなるように接続孔70が形成
されることはない。
【0130】なお、上記説明では、接続孔パターンを形
成する際のミスアライメントによって配線溝パターンに
対して接続孔パターンがずれて形成された場合に、接続
孔の開口面積を確保することができることを説明した
が、本発明の半導体装置の製造方法によれば、配線溝パ
ターンと接続孔パターンとが相対的にずれて形成された
すべての場合に対して、接続孔の開口面積を確保するこ
とができるという効果を有する。
【0131】さらに、第1のマスク69になる第1の膜
65を光透過性の材料、ここでは酸化シリコン膜で形成
することにより、また第2のマスクになる第2の膜65
を光透過性の材料、ここでは窒化シリコン膜で形成する
ことにより、その後の露光工程において、マスクの位置
を下地に合わせる、いわゆるマスクアライメントを、光
を用いたアライメントや画像処理を用いたアライメント
で行うことができるようになっている。なお、酸化シリ
コン膜、窒化シリコン膜等は、アライメントに用いる波
長領域(200nm〜1000nm)の光を透過するこ
とは知られている。
【0132】またさらに、第1の層間絶縁膜62と第2
の層間絶縁膜63との材料の特性の相違を利用すること
によって、従来から用いられていた誘電率の高いエッチ
ングストッパ層(例えば窒化シリコン膜、酸化シリコン
膜または酸化窒化シリコン膜)を用いる必要がない。例
えば、第2の層間絶縁膜63(有機膜)をエッチングす
る条件で、第1の層間絶縁膜62がエッチングされない
条件を選択すれば、配線溝71を配線層となる第2の層
間絶縁膜63に制御性良くエッチングにより形成するこ
とが可能である。また、接続孔70を形成するためのエ
ッチングを行う際には、上記説明したように、酸化シリ
コン膜からなる第1のマスク69に配線溝パターン66
を延長形成すると同時に酸化シリコン膜からなる第1の
層間絶縁膜62にもエッチングすればよい。
【0133】
【発明の効果】以上、説明したように本発明によれば、
層間絶縁膜上にその層間絶縁膜をエッチングする際にエ
ッチングマスクとなる第1のマスクを形成する工程と、
第1のマスク上に層間絶縁膜をエッチングする際のエッ
チングマスクとなるもので第1のマスクとは材質の異な
る第2のマスクを形成する工程とを備えているので、第
1のマスクをエッチングマスクに用いて層間絶縁膜をエ
ッチングして接続孔を形成することができ、次いで第2
のマスクをエッチングマスクに用いて第1のマスクとは
異なるパターンで層間絶縁膜をエッチングして配線溝を
形成することができる。
【0134】また、上記層間絶縁膜のうち、同一配線層
の配線間となる層間絶縁膜の上層をキセロゲル膜もしく
はフッ素樹脂膜で形成する製造方法によれば、配線間容
量の低減が可能になる。また上記層間絶縁膜のうち、配
線層間の層間絶縁膜に有機膜もしくは無機膜を用いるこ
とにより、配線間の層間絶縁膜をキセロゲルもしくはフ
ッ素樹脂を用いても、層間絶縁膜全体の機械的強度の劣
化を防止することができる。よって、銅配線とフッ素樹
脂と有機膜とを組み合わせた半導体装置、もしくは銅配
線とキセロゲルと有機膜とを組み合わせた半導体装置の
歩留りを低下させることなく半導体装置を形成すること
ができる。
【0135】また、第2のマスクを形成する際のレジス
トプロセスでは、下地に第1の膜が形成された状態にあ
るため、また第1のマスクを形成する際のレジストプロ
セスでは、その第1の膜が層間絶縁膜を覆っているため
に、第1、第2のマスクを形成する際に用いるレジスト
プロセスは、層間絶縁膜が露出していない状態で行うこ
とが可能である。そのため、レジストプロセスでのレジ
スト膜の再生処理が可能になる。
【0136】また、接続孔を形成するためのパターンを
第1のマスク形成する際にミスアライメントが生じた
としても、第2のマスクにも接続孔を形成するためのパ
ターンを形成することができる。そのため、前記図8に
よって説明したような接続孔の開口面積が狭くなるよう
なことはない。
【0137】さらに第1のマスクおよび第2のマスク
を、光透過性を有す材料で形成することにより、第1の
マスクを形成するための膜および第2のマスクを形成す
るための膜を形成した後に行われるリソグラフィー工程
の露光工程におけるマスクアライメントを従来と同様の
アライメント方法で行うことが可能になる。
【図面の簡単な説明】
【図1】本発明の半導体装置の製造方法に係わる第1の
実施の形態を示す製造工程図である。
【図2】本発明の半導体装置の製造方法に係わる第1の
実施の形態を示す製造工程図である。
【図3】本発明の半導体装置の製造方法に係わる第2の
実施の形態を示す製造工程図である。
【図4】本発明の半導体装置の製造方法に係わる第3の
実施の形態を示す製造工程図である。
【図5】本発明の半導体装置の製造方法に係わる第4の
実施の形態を示す製造工程図である。
【図6】第4の実施の形態に示した製造方法においてミ
スアライメントを生じた場合の製造方法を示す製造工程
図である。
【図7】従来の技術の製造方法を示す製造工程図であ
る。
【図8】従来の技術においてミスアライメントを生じた
場合の課題を示す製造工程図である。
【符号の説明】
12…層間絶縁膜、21…第2のマスク、25…第1の
マスク
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開2000−3913(JP,A) 特開 平8−83797(JP,A) 特開 平10−284600(JP,A) 特開 平10−125783(JP,A) 特開 平10−84039(JP,A) 特開 昭58−91635(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 H01L 21/312 H01L 21/3205 H01L 21/3213

Claims (11)

    (57)【特許請求の範囲】
  1. 【請求項1】 キセロゲル膜もしくは有機膜を含む層間
    絶縁膜を備えた半導体装置の製造方法において、 前記層間絶縁膜上にその層間絶縁膜をエッチングする際
    のエッチングマスクとなる第1のマスクを形成する工程
    と、 前記第1のマスク上に前記層間絶縁膜をエッチングする
    際のエッチングマスクとなるもので前記第1のマスクと
    は材質の異なる第2のマスクを形成する工程とを備え、 前記第1のマスクを、光透過性を有する材料で形成し、 前記第2のマスクを、光透過性を有する窒化シリコン膜
    で形成 することを特徴とする半導体装置の製造方法。
  2. 【請求項2】 前記第2のマスクには配線溝を形成する
    ためのパターンを形成し、 前記第1のマスクには前記配線溝を形成するためのパタ
    ーンに少なくとも一部が重なるように接続孔を形成する
    ためのパターンを形成することを特徴とする請求項1記
    載の半導体装置の製造方法。
  3. 【請求項3】 前記配線溝を形成するためのパターンを
    前記第2のマスクに形成し、前記接続孔を形成するため
    のパターンを、前記配線溝を形成するためのパターンに
    少なくとも一部が重なるように前記第1のマスクに形成
    する方法は、 前記層間絶縁膜上に前記第1のマスクを形成するための
    第1の膜を形成した後、前記第1の膜上に前記第2のマ
    スクを形成するための第2の膜を形成する工程と、 前記第2の膜に配線溝を形成するためのパターンを形成
    して前記第2のマスクを形成する工程と、 前記配線溝を形成するためのパターンに少なくとも一部
    が重なるように接続孔を形成するためのパターンを前記
    第1の膜に形成して前記第1のマスクを形成する工程と
    を備えたことを特徴とする請求項記載の半導体装置の
    製造方法。
  4. 【請求項4】 前記層間絶縁膜のうち、 配線層間となる層間絶縁膜の下層を有機膜で形成し、 同一配線層の配線間となる層間絶縁膜の上層を前記キセ
    ロゲル膜もしくは有機膜で形成することを特徴とする請
    求項1記載の半導体装置の製造方法。
  5. 【請求項5】 前記第2のマスクには配線溝を形成する
    ためのパターンを形成し、 前記第1のマスクには前記配線溝を形成するためのパタ
    ーンに少なくとも一部が重なるように接続孔を形成する
    ためのパターンを形成することを特徴とする請求項
    載の半導体装置の製造方法。
  6. 【請求項6】 前記配線溝を形成するためのパターンを
    前記第2のマスクに形成し、前記接続孔を形成するため
    のパターンを、前記配線溝を形成するためのパターンに
    少なくとも一部が重なるように前記第1のマスクに形成
    する方法は、 前記層間絶縁膜上に前記第1のマスクを形成するための
    第1の膜を形成した後、前記第1の膜上に前記第2のマ
    スクを形成するための第2の膜を形成する工程と、 前記第2の膜に配線溝を形成するためのパターンを形成
    して前記第2のマスクを形成する工程と、 前記配線溝を形成するためのパターンに少なくとも一部
    が重なるように接続孔を形成するためのパターンを前記
    第1の膜に形成して前記第1のマスクを形成する工程と
    を備えたことを特徴とする請求項記載の半導体装置の
    製造方法。
  7. 【請求項7】 前記第1のマスクを形成した後、 連続して前記第1のマスクを形成する際のエッチングマ
    スクとして用いたレジスト膜と前記第1のマスクとをエ
    ッチングマスクに用いて前記層間絶縁膜のエッチングを
    行うことにより接続孔を形成する工程と、 前記第2のマスクを用いたエッチングにより前記第1の
    マスクと前記層間絶縁膜の上層とに配線溝を形成する工
    程とを備えたことを特徴とする請求項記載の半導体装
    置の製造方法。
  8. 【請求項8】 前記層間絶縁膜のうち、 配線層間となる層間絶縁膜の下層を無機膜で形成し、 同一配線層の配線間となる層間絶縁膜の上層を前記有機
    膜で形成することを特徴とする請求項1記載の半導体装
    置の製造方法。
  9. 【請求項9】 前記第2のマスクには配線溝を形成する
    ためのパターンを形成し、 前記第1のマスクには前記配線溝を形成するためのパタ
    ーンに少なくとも一部が重なるように接続孔を形成する
    ためのパターンを形成することを特徴とする請求項
    載の半導体装置の製造方法。
  10. 【請求項10】 前記配線溝を形成するためのパターン
    を前記第2のマスクに形成し、前記接続孔を形成するた
    めのパターンを、前記配線溝を形成するためのパターン
    に少なくとも一部が重なるように前記第1のマスクに形
    成する方法は、 前記層間絶縁膜上に前記第1のマスクを形成するための
    第1の膜を形成した後、前記第1の膜上に前記第2のマ
    スクを形成するための第2の膜を形成する工程と、 前記第2の膜に配線溝を形成するためのパターンを形成
    して前記第2のマスクを形成する工程と、 前記配線溝を形成するためのパターンに少なくとも一部
    が重なるように接続孔を形成するためのパターンを前記
    第1の膜に形成して前記第1のマスクを形成する工程と
    を備えたことを特徴とする請求項記載の半導体装置の
    製造方法。
  11. 【請求項11】 前記第1のマスクを形成した後、 前記第1のマスクをエッチングマスクに用いて前記層間
    絶縁膜の上層に接続孔を形成するための開口部を形成す
    る工程と、 前記第2のマスクを用いたエッチングにより前記第1の
    マスクに配線溝を形成するための開口部を形成するとと
    もに、前記層間絶縁膜の上層をマスクにして、前記層間
    絶縁膜の下層に接続孔を形成する工程と、 前記第2のマスクをエッチングマスクに用いて前記層間
    絶縁膜の上層に配線溝を形成する工程とを備えたことを
    特徴とする請求項10記載の半導体装置の製造方法。
JP34963099A 1999-03-15 1999-12-09 半導体装置の製造方法 Expired - Lifetime JP3436221B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP34963099A JP3436221B2 (ja) 1999-03-15 1999-12-09 半導体装置の製造方法
TW089101976A TW556312B (en) 1999-03-15 2000-02-03 Process for producing semiconductor device
KR1020000007076A KR100645422B1 (ko) 1999-03-15 2000-02-15 반도체 소자의 제조 방법
US09/525,265 US6593246B1 (en) 1999-03-15 2000-03-14 Process for producing semiconductor device
US10/954,148 USRE40748E1 (en) 1999-03-15 2004-09-30 Process for producing semiconductor device

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP6778399 1999-03-15
JP11-147800 1999-05-27
JP11-67783 1999-05-27
JP14780099 1999-05-27
JP34963099A JP3436221B2 (ja) 1999-03-15 1999-12-09 半導体装置の製造方法
US09/525,265 US6593246B1 (en) 1999-03-15 2000-03-14 Process for producing semiconductor device

Publications (2)

Publication Number Publication Date
JP2001044189A JP2001044189A (ja) 2001-02-16
JP3436221B2 true JP3436221B2 (ja) 2003-08-11

Family

ID=28678977

Family Applications (1)

Application Number Title Priority Date Filing Date
JP34963099A Expired - Lifetime JP3436221B2 (ja) 1999-03-15 1999-12-09 半導体装置の製造方法

Country Status (2)

Country Link
US (2) US6593246B1 (ja)
JP (1) JP3436221B2 (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1194393C (zh) 2000-06-30 2005-03-23 东京威力科创股份有限公司 半导体器件制造工艺
US6395632B1 (en) * 2000-08-31 2002-05-28 Micron Technology, Inc. Etch stop in damascene interconnect structure and method of making
JP2002252222A (ja) * 2001-02-22 2002-09-06 Nec Corp 半導体装置の製造方法、及び半導体装置
JP4568444B2 (ja) * 2001-03-27 2010-10-27 株式会社アルバック 基板上に堆積したポーラスシリカを含有する材料の薄膜のエッチング法
US20030064582A1 (en) * 2001-09-28 2003-04-03 Oladeji Isaiah O. Mask layer and interconnect structure for dual damascene semiconductor manufacturing
US6734096B2 (en) * 2002-01-17 2004-05-11 International Business Machines Corporation Fine-pitch device lithography using a sacrificial hardmask
JP2004055781A (ja) 2002-07-19 2004-02-19 Sony Corp 半導体装置の製造方法
JP4193438B2 (ja) * 2002-07-30 2008-12-10 ソニー株式会社 半導体装置の製造方法
JP2004214336A (ja) * 2002-12-27 2004-07-29 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
WO2004097923A1 (ja) * 2003-04-30 2004-11-11 Fujitsu Limited 半導体装置の製造方法
KR100598294B1 (ko) * 2003-12-31 2006-07-07 동부일렉트로닉스 주식회사 듀얼 다마신을 이용한 구리 배선 형성 방법
JP2005203672A (ja) 2004-01-19 2005-07-28 Sony Corp 半導体装置の製造方法
JP2005347587A (ja) * 2004-06-04 2005-12-15 Sony Corp ドライエッチング後の洗浄液組成物および半導体装置の製造方法
KR20070033975A (ko) * 2004-07-22 2007-03-27 고쿠리츠 다이가쿠 호진 교토 다이가쿠 플루오로카본막 및 그 형성 방법
JP4493444B2 (ja) * 2004-08-26 2010-06-30 株式会社ルネサステクノロジ 半導体装置の製造方法
TWI255502B (en) * 2005-01-19 2006-05-21 Promos Technologies Inc Method for preparing structure with high aspect ratio
US7642195B2 (en) * 2005-09-26 2010-01-05 Applied Materials, Inc. Hydrogen treatment to improve photoresist adhesion and rework consistency
KR100739530B1 (ko) 2006-06-07 2007-07-13 삼성전자주식회사 큰 종횡비의 콘택홀을 갖는 반도체장치의 제조 방법
US7488687B2 (en) 2006-09-12 2009-02-10 Samsung Electronics Co., Ltd. Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers
KR100792386B1 (ko) * 2006-09-29 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP5541296B2 (ja) * 2012-01-13 2014-07-09 富士通セミコンダクター株式会社 半導体ウエハ装置およびその製造方法
US9312204B2 (en) * 2013-09-27 2016-04-12 Intel Corporation Methods of forming parallel wires of different metal materials through double patterning and fill techniques
US9576894B2 (en) * 2015-06-03 2017-02-21 GlobalFoundries, Inc. Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same
CN109904114B (zh) * 2019-02-26 2021-03-19 深圳市星汉激光科技股份有限公司 一种通孔的激光加工方法
FR3100377A1 (fr) * 2019-08-30 2021-03-05 Commissariat A L'energie Atomique Et Aux Energies Alternatives Prise de contact sur du germanium

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3607267A (en) * 1967-10-09 1971-09-21 Motorola Inc Precision alignment of photographic masks
US5110712A (en) * 1987-06-12 1992-05-05 Hewlett-Packard Company Incorporation of dielectric layers in a semiconductor
JPH05121727A (ja) * 1991-10-25 1993-05-18 Nec Corp 半導体装置及びその製造方法
JPH05243217A (ja) * 1992-02-28 1993-09-21 Nec Corp 半導体装置の製造方法
JP3248262B2 (ja) * 1992-09-25 2002-01-21 松下電器産業株式会社 半導体装置の製造方法
JP2950045B2 (ja) * 1992-10-05 1999-09-20 日本電気株式会社 半導体装置の製造方法
JP2830653B2 (ja) * 1992-10-05 1998-12-02 日本電気株式会社 マルチチップモジュール
US5635423A (en) * 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
JPH09153545A (ja) * 1995-09-29 1997-06-10 Toshiba Corp 半導体装置及びその製造方法
US5910453A (en) * 1996-01-16 1999-06-08 Advanced Micro Devices, Inc. Deep UV anti-reflection coating etch
US5960304A (en) * 1996-05-20 1999-09-28 Texas Instruments Incorporated Method for forming a contact to a substrate
JP2917940B2 (ja) * 1996-11-20 1999-07-12 日本電気株式会社 半導体装置の製造方法
JP3390329B2 (ja) * 1997-06-27 2003-03-24 日本電気株式会社 半導体装置およびその製造方法
US6133139A (en) * 1997-10-08 2000-10-17 International Business Machines Corporation Self-aligned composite insulator with sub-half-micron multilevel high density electrical interconnections and process thereof
US6103632A (en) * 1997-10-22 2000-08-15 Applied Material Inc. In situ Etching of inorganic dielectric anti-reflective coating from a substrate
JP3309783B2 (ja) * 1997-10-31 2002-07-29 日本電気株式会社 半導体装置の製造方法
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6204168B1 (en) * 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
TW368741B (en) * 1998-02-26 1999-09-01 United Microelectronics Corp Manufacturing method for dual damascene
JP3382844B2 (ja) * 1998-04-07 2003-03-04 日本電気株式会社 半導体装置の製造方法
US6323118B1 (en) * 1998-07-13 2001-11-27 Taiwan Semiconductor For Manufacturing Company Borderless dual damascene contact
US6037268A (en) * 1998-12-29 2000-03-14 Lucent Technologies Inc. Method for etching tantalum oxide

Also Published As

Publication number Publication date
US6593246B1 (en) 2003-07-15
JP2001044189A (ja) 2001-02-16
USRE40748E1 (en) 2009-06-16

Similar Documents

Publication Publication Date Title
JP3436221B2 (ja) 半導体装置の製造方法
KR100670966B1 (ko) 반도체 장치의 제조 방법
US7304386B2 (en) Semiconductor device having a multilayer wiring structure
US6265321B1 (en) Air bridge process for forming air gaps
JP4763600B2 (ja) エッチング・パターンを形成する方法及びデュアル・ダマシン相互接続構造体を形成する方法
US7811924B2 (en) Air gap formation and integration using a patterning cap
US6479391B2 (en) Method for making a dual damascene interconnect using a multilayer hard mask
KR100321571B1 (ko) 다중층배선을갖는반도체장치의제조방법
JP4006376B2 (ja) 相互接続構造の製造方法およびその構造
US6333558B1 (en) Semiconductor device and method for fabricating the same
US20040214427A1 (en) Forming thin hard mask over air gap or porous dielectric
JP2009532866A (ja) 機械的特性が改善された多孔性低k層を有するダマシン相互接続
JP2000349152A (ja) 半導体装置の製造方法
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US6524963B1 (en) Method to improve etching of organic-based, low dielectric constant materials
US5880030A (en) Unlanded via structure and method for making same
JP4207303B2 (ja) 半導体装置およびその製造方法
JP2004055781A (ja) 半導体装置の製造方法
US20070232062A1 (en) Damascene interconnection having porous low k layer followed by a nonporous low k layer
JP4523351B2 (ja) 半導体装置の製造方法
JP2003303880A (ja) 積層層間絶縁膜構造を利用した配線構造およびその製造方法
US6277732B1 (en) Method of planarizing inter-metal dielectric layer
JP2002222860A (ja) 半導体装置の作成方法
KR100645422B1 (ko) 반도체 소자의 제조 방법
JP4085648B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
R151 Written notification of patent or utility model registration

Ref document number: 3436221

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080606

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090606

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090606

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100606

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100606

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110606

Year of fee payment: 8

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110606

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120606

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120606

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130606

Year of fee payment: 10

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term