JP4193438B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP4193438B2
JP4193438B2 JP2002221069A JP2002221069A JP4193438B2 JP 4193438 B2 JP4193438 B2 JP 4193438B2 JP 2002221069 A JP2002221069 A JP 2002221069A JP 2002221069 A JP2002221069 A JP 2002221069A JP 4193438 B2 JP4193438 B2 JP 4193438B2
Authority
JP
Japan
Prior art keywords
mask
film
etching
insulating film
connection hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002221069A
Other languages
English (en)
Other versions
JP2004063859A (ja
Inventor
龍一 金村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2002221069A priority Critical patent/JP4193438B2/ja
Priority to TW092119693A priority patent/TWI254379B/zh
Priority to US10/522,486 priority patent/US20060166482A1/en
Priority to KR1020057001516A priority patent/KR20050027133A/ko
Priority to PCT/JP2003/009602 priority patent/WO2004012254A1/ja
Publication of JP2004063859A publication Critical patent/JP2004063859A/ja
Priority to US11/863,403 priority patent/US7534721B2/en
Application granted granted Critical
Publication of JP4193438B2 publication Critical patent/JP4193438B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Description

【0001】
【発明の属する技術分野】
本発明は、低誘電率層間絶縁膜内にデュアルダマシン構造の多層配線構造を有する半導体装置の製造方法に関し、更に詳細には、良好な形状のデュアルダマシン構造の配線構造を備えた半導体装置の製造方法に関するものである。
【0002】
【従来の技術】
半導体装置の微細化、高集積化に伴い、配線の時定数に起因する電気信号の遅れが深刻な問題となっている。そこで、多層配線構造で用いられる導電層には、アルミニウム(Al)系合金の配線に代わり、低電気抵抗の銅(Cu)配線が導入されるようになっている。
Cuは、従来の多層配線構造に使われているAlなどの金属材料とは異なって、ドライエッチングによるパターニングが困難なため、絶縁膜に配線溝を形成し、配線溝にCuを埋め込むことにより配線パターンを形成するダマシン法が一般にCu多層配線構造に適用されている。特に、特願平10−143914号公報などに開示されているデュアルダマシン法は、接続孔と配線溝とを形成した上で、Cu埋め込みを接続孔と配線溝とに同時に行う方法であって、工程数の削減に有効であることから注目されている。
【0003】
また、高集積半導体装置では、配線容量の増大が半導体装置の動作速度の低下を招くために、低誘電率膜を層間絶縁膜に用いて配線容量の増大を抑制した微細な多層配線が不可欠となっている。
低誘電率層間絶縁膜の材料として、従来から比較的使用実績のある比誘電率3.5程度のフッ素含有酸化シリコン(FSG)に加えて、ポリアリールエーテル(PAE)に代表される有機シリコン系のポリマーや、ハイドロゲンシルセキオサン(HSQ)、メチルシルセスキオキサン(MSQ)に代表される無機系材料などの比誘電率2.7前後の低誘電率膜が挙げられる。更に、近年では、それらを多孔質化させて比誘電率を2.2前後とした低誘電率材料の適用も試みられている。
【0004】
デュアルダマシン法を低誘電率層間絶縁膜に適用する場合、以下の技術的な制約を解決することが必要である。
第1には、低誘電率膜の組成がパターニングに用いられるレジストの組成に近いために、レジスト除去プロセスの際に低誘電率膜も損傷を受け易いことが挙げられる。具体的には、レジストマスクを用いてエッチングを行った後のレジスト剥離処理や、処理済みのレジストパターンが製品規格を満たさない場合のレジスト再生処理などを行う際、低誘電率膜に対する損傷を抑制できることが不可欠である。
【0005】
第2には、配線と接続孔との間で合わせ余裕を持たないボーダレス構造への適用が可能なことである。
半導体装置の微細化に伴い、0.18μm世代以降の多層配線では、ボーダレス構造に対応出来る加工プロセスを採用することが大前提となっている。従って、低誘電率膜を含む層間絶縁膜にデュアルダマシン法による配線溝と接続孔の同時形成を行う場合でも、合わせずれによるヴィア抵抗の変動が少ないプロセスであることが重要である。
【0006】
第3には、配線溝を深さ制御性良く形成するには、配線溝の底部近くにエッチング阻止膜を介在させることが望ましいものの、比誘電率の比較的高いエッチング阻止膜を層間絶縁膜内に介在させると、層間容量が増加することになる。
従って、配線溝の形成を制御しつつ、しかも容量増加を抑えることが出来る低誘電率膜層間構造のデュアルダマシンプロセスが要求されている。
【0007】
上述したような技術的な制約を解決できるデュアルダマシン法として、例えば特開2000−150519号公報、或いは特開2001−44189号公報に開示の技術がある。
ここで、図7から図9を参照して、特開2001−44189号公報に開示されている低誘電率層間膜に対するデュアルダマシン法の適用例を説明する。図7(a)と(b)、図8(c)から(e)、及び図9(f)と(g)は、それぞれ、従来の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
先ず、図7(a)に示すように、図示しない基板に堆積された下地絶縁膜1上に有機膜2と酸化シリコン(SiO2 )膜3からなる積層膜を層間絶縁膜として成膜し、次いで層間絶縁膜に銅(Cu)膜の埋め込み配線4を形成する。
Cu埋め込み配線4上に、順次、Cu膜の酸化防止層として炭化シリコン膜(SiC)5を、メチルシルセスキオキサン(MSQ)膜として炭素含有酸化シリコン(SiOC)膜6を、有機膜としてポリアリールエーテル(PAE)膜7を成膜する。
続いて、第一のマスク形成層として酸化シリコン(SiO2 )膜8を、第二のマスク形成層として窒化シリコン(SiN)膜9を順次成膜し、更に配線溝パターンを有するレジストマスク10をSiN膜9上に形成する。
【0008】
次いで、図7(b)に示すように、レジストマスク10を用いたドライエッチング法によりSiN膜9をエッチングし、配線溝パターンを有するSiN膜からなる第二のマスク11を形成し、続いてレジストマスク10を除去する。
次に、接続孔パターンのレジストパターンの少なくとも一部が、配線溝パターンを有するSiN膜からなる第二のマスク11に重なるように、接続孔パターンを有するレジストマスク12を第二のマスク11及びSiO2 膜8上に形成する。
【0009】
図8(c)に示すように、接続孔パターンを有するレジストマスク12を用いてドライエッチング法により、SiN膜からなる第二のマスク11と第一のマスク形成層のSiO2 膜8をエッチングして開口し、続いてPAE膜7をエッチングしてSiOC膜6を露出する接続孔13を開口する。ここで、レジストマスク12は、PAE膜7のエッチング処理で同時に除去することが出来る
また、PAE膜7の開口中にレジストマスク12は薄くなって行くが、SiO2 膜8からなる第一のマスク8Aが存在するので、第一のマスク8Aをマスクにして良好な開口形状の接続孔13を開口することが出来る。
【0010】
次に、図8(d)に示すように、更にSiOC膜6をエッチングして接続孔13をSiC膜5まで掘り下げて接続孔14を開口する。接続孔14の開口と共に、配線溝形成領域に残存し、第一のマスク8Aを形成するSiO2 膜8は、配線溝パターンを有するSiN膜からなる第二のマスク11をマスクとするエッチングにより、同時に除去され、開口部15となる。
図8(e)に示すように、開口部15の底部に残存するPAE膜7をエッチングして配線溝16とし、接続孔14の底部にあるSiC膜5をエッチングして接続孔14をCu埋め込み配線4に連通させることにより、所定のデュアルダマシン加工、つまり配線溝16と接続孔14の形成が完了する。
尚、配線溝形成領域外に残存するSiN膜からなる第二のマスク11は、接続孔14底部のSiC膜5をエッチングする過程で除去される。
【0011】
続いて、薬液を用いた後処理、及びRFスパッタリング処理により、配線溝16や接続孔14の側壁に残留するエッチング付着物を除去し、接続孔14底部のCu変質層を正常化した後、図9(f)に示すように、バリアメタルとしてTa膜17をスパッタリング法により成膜し、電解めっき法あるいはスパッタリング法によりCu膜18を堆積して、配線溝16と接続孔14に導電膜の埋め込みを行う。
【0012】
次いで、図9(g)に示すように、堆積したTa膜17及びCu膜18のうち、配線パターンとして不要な部分を化学機械研磨(CMP)法により除去する。これにより、デュアルダマシン構造の多層配線構造を得ることができる。
更に、下層のCu埋め込み配線4と同様、酸化防止層として例えばSiC膜19をデュアルダマシン配線18上に成膜する。
【0013】
低誘電率層間膜構造に対して上述した2層エッチングマスクを用いたデュアルダマシン法の適用は、前述した技術的な制約事項を克服した製造方法となっている。
すなわち、製品規格に適合しないレジストマスク10、12の再生処理は、第一のマスク形成層9又は第二のマスク形成層8上で行うことができ、接続孔開口のためのレジストマスク12の除去は、PAE膜7のエッチングして接続孔13を開口させる工程で同時に行うことが可能であるから、低誘電率膜の損傷を抑制しつつレジスト剥離を行うことができる。
また、配線溝パターンを有するSiN膜からなる第二のマスク11上から接続孔13(接続孔14)を開口するので、配線溝16と接続孔14との合わせずれが発生した場合でも、接続孔14の寸法が変動することがない。
更には、SiOC膜6上に成膜されるPAE膜7に配線溝16を形成する際、無機系MSQ膜(SiOC膜6)と有機ポリマー膜(PAE膜7)の組み合わせであるから、エッチング選択比を確保することが容易である。従って比誘電率の高いSiN膜等のエッチング阻止膜を介在させなくても、配線溝16の深さ制御が容易である。
【0014】
【発明が解決しようとする課題】
しかし、上述の従来のデュアルダマシン法を更に微細な0. 1μm世代以降の多層配線に適用する場合、次に示すような問題点がある。
第1には、第二のマスク形成層、即ちSiN膜9の膜厚が厚くなることである。第二のマスク11は、接続孔層間膜のSiOC膜(MSQ膜)6をエッチングして接続孔14を開口し、かつ配線溝形成領域に開口部15を開口するために用いられるので、ある程度の厚さが必要である。例えば、第二のマスク11にSiN膜9を用いて、接続孔層間膜膜である膜厚400nmのSiOC膜6を開口する場合、配線溝上方の広がりや肩落ちを抑制するためには、膜厚100〜150nmのSiN膜9がエッチング選択比上から必要となる。
【0015】
第2には、レジストマスク12を段差上に形成することが多くなるので、微細なパターンを高精度で形成することが難しいことである。
第二のマスク形成層であるSiN膜9を加工して配線溝パターンを有する第二のマスク11を形成する工程では、図7(b)に示すように、第一のマスク形成層であるSiO2 膜8に対するエッチング選択比(SiN/SiO2 )が2〜3程度しか確保出来ないので、SiN膜9のオーバーエッチング時に下地SiO2 膜8の掘れ量が30nm前後発生することが多い。このため、接続孔パターンを有するレジストマスク12を130〜180nmの段差上に形成することが強いられる。
ところが、200nm弱の局所段差越しに0. 10μm世代以降の微細なレジストパターンを形成するのは、平坦部に形成する場合に比べて、レジスト膜のすそ引き発生や線幅制御の観点より、非常に難度の高い工程となる。
【0016】
第3には、リソグラフィー工程で一般的に用いられる塗布系の反射防止膜(BARC)を併用する場合、第二のマスク11のパターン寸法や疎密具合により、BARCの埋め込み形状が変化するため、焦点深度ばらつき増大による露光処理時のレジスト形状悪化や、BARC膜エッチングによる接続孔開口時の第二のマスク11の形状悪化を招き易いことである。
【0017】
第4には、上述した従来のデュアルダマシン法では、レジストマスク12による接続孔13のパターニングの前に、レジストマスク10による配線溝パターンのパターニングを実施しているために、配線溝16と接続孔14のマスクアライメントが間接合わせとなり、先に接続孔パターンを形成する通常のプロセスに比べて、結果として上層配線と接続孔の合わせずれ量が増大してしまうという欠点がある。
【0018】
これらの問題を解決する手段として、例えば特開2000−150519号公報に開示されているように、第二のマスクを金属膜で形成して、接続孔層間膜のMSQ膜に対するエッチング選択比を上げることにより、第二のマスクの薄膜化を行い、レジストパターニング段差を低減する方法が考えられる。
ところが、金属膜はマスクアライメントに用いる波長領域(200〜1000nm)の光を殆ど透過することが出来ないため、全面成膜してしまうと、その後の露光工程で、通常の波長域の光を用いたアライメントや画像処理を用いたアライメントを行うことが出来なくなるという問題がある。
【0019】
そこで、本発明の目的は、PAE膜、及びMSQ膜等を用いた低誘電率層間絶縁膜内にデュアルダマシン構造を形成する際、レジストパターニング工程に対する負荷を低減し、良好なデュアルダマシン加工形状を得ることにより、高性能且つ高歩留まりで、高信頼性の多層配線構造を有する半導体装置の製造方法を提供することである。
【0020】
【課題を解決するための手段】
上記目的を達成するために、本発明に係る半導体装置の製造方法(第1の発明方法と言う)は、有機絶縁膜を含む層間絶縁膜を備えた半導体装置の製造方法において、
(イ)半導体基板上に、接続孔を貫通させる絶縁膜として第一の絶縁膜、及び配線層間の絶縁膜として有機絶縁膜からなる第二の絶縁膜を順次成膜する工程と、
(ロ)第二の絶縁膜上に、第1のマスク、第2のマスク、及び第3のマスクをそれぞれ形成する、第一マスク形成層、第二マスク形成層、及び第三マスク形成層を順次成膜する工程と、
(ハ)第三マスク形成層をパターニングして配線溝パターンを有する第3のマスクを形成する工程と、
(ニ)第3のマスクを含む第二マスク形成層上に接続孔パターンを有するレジストマスクを形成する工程と、
(ホ)レジストマスクを使って第3のマスク、第二マスク形成層、及び第一マスク形成層をエッチングし、更に第二の絶縁膜をエッチングして、接続孔を開口する工程と、
(へ)第3のマスクを用いて第二マスク形成層をエッチングして、配線溝パターンを有する第2のマスクを形成すると共に、第一の絶縁膜の途中まで接続孔を開口する工程と、
(ト)第2のマスクを用いて第一マスク形成層をエッチングして、配線溝パターンを有する第1のマスクを形成すると共に、接続孔の底部に残存する第一の絶縁膜をエッチングして接続孔を開口する工程と、
(チ)第1ないしは第2のマスクを用いて第二の絶縁膜をエッチングし、第二の絶縁膜に配線溝を形成する工程と、
(リ)少なくとも第2及び第3のマスクを除去する工程と
を有することを特徴としている。
【0022】
第1の発明方法では、工程(ニ)で接続孔パターンを有するレジストマスクを形成する際の下地層の段差が、第三マスク形成層の膜厚に依存して小さく抑えられるので、高精度の接続孔パターンを有するレジストマスクを形成することができる。これにより、配線溝の形状悪化無く、微細寸法の接続孔を安定して開口することができるので、良好なヴィアコンタント特性を得ることが出来る。
本発明方法を適用したデュアルダマシンプロセスにより、高精度で形成された多層配線を有する半導体装置を高い歩留まりで製造することができる。
【0023】
本発明に係る半導体装置の別の製造方法(第2の発明方法と言う)は、有機絶縁膜を含む層間絶縁膜を備えた半導体装置の製造方法において、
(イ)半導体基板上に、接続孔を貫通させる絶縁膜として第一の絶縁膜、及び配線層間の絶縁膜として有機絶縁膜からなる第二の絶縁膜を順次成膜する工程と、
(ロ)第二の絶縁膜上に、第1のマスク、第2のマスク、及び第3のマスクをそれぞれ形成する、第一マスク形成層、第二マスク形成層、及び第三マスク形成層を順次成膜する工程と、
(ハ)第三マスク形成層上に接続孔パターンを有する第1のレジストマスクを形成する工程と、
(ニ)第1のレジストマスクを用いて、第三マスク形成層、第二マスク形成層、及び第一マスク形成層の途中まで接続孔を開口する工程と、
(ホ)第三マスク形成層上に配線溝パターンを有する第2のレジストマスクを形成し、第2のレジストマスクを用いて第三マスク形成層をエッチングして第3のマスクを形成する工程と、
(へ)前記第2のマスク形成層を用いて第一マスク形成層及び第二の絶縁膜をエッチングして接続孔を開口する工程と、
(ト)第3のマスクを用いて第二マスク形成層をエッチングして、配線溝パターンを有する第2のマスクを形成すると共に、第一の絶縁膜を途中までエッチングして接続孔を形成する工程と、
(チ)第2のマスクを用いて第一マスク形成層をエッチングして、配線溝パターンを有する第1のマスクを形成すると共に、第一の絶縁膜をエッチングして接続孔を開口する工程と、
(リ)第1ないしは第2のマスクを用いて第二の絶縁膜をエッチングして、配線溝を形成する工程と、
(ヌ)少なくとも第2、及び第3のマスクを除去する工程と
を有することを特徴としている。
【0024】
第2の発明方法では、工程(ハ)で接続孔パターンを有するレジストマスクを形成する際の下地層はほぼ平坦であるから、高精度の接続孔パターンを有するレジストマスクを形成することができる。これにより、配線溝の形状悪化無く、微細寸法の接続孔を安定して開口することができるので、良好なヴィアコンタント特性を得ることが出来る。
また、接続孔のパターニングを行った後に配線溝のパターニングを行うので、上層配線と接続孔のマスクアライメントが間接合わせとならない。よって、アライメント誤差の小さい多層配線を形成することができる。
本発明方法を適用したデュアルダマシンプロセスにより、高精度で形成された多層配線を有する半導体装置を高い歩留まりで製造することができる。
【0025】
第1及び第2の発明方法では、好適には、工程(イ)では、第一の絶縁膜としてメチルシルセスキオキサン膜を、第二の絶縁膜として有機膜を成膜する。これにより、配線間静電容量を低減することができる。
【0026】
工程(ロ)では、第一、第二、及び第三マスク形成層を光透過性を有する材料で成膜する。
また、工程(ロ)では、上層のマスク形成層に形成したマスクを用いて反応性イオンエッチング法により下層のマスク形成層を加工出来る材料で、第一、第二、及び第三マスク形成層を成膜する。これにより、容易に第1から第3のマスクを形成することができる。
第1の発明方法の工程(ロ)では、第一マスク形成層としてシリコン酸化膜、第二マスク形成層としてシリコン窒化膜、及び第三マスク形成層としてシリコン酸化膜を成膜し、第2の発明方法の工程(ロ)では、第一マスク形成層としてシリコン酸化膜、第二マスク形成層としてシリコン窒化膜、及び第三マスク形成層として非晶質シリコン膜を成膜する。
【0027】
【発明の実施の形態】
以下に、添付図面を参照して、実施形態例に基づいて本発明をより詳細に説明する。尚、以下の実施形態例で示した膜種、膜厚、成膜方法、その他寸法等は、本発明の理解を容易にするための例示であって、本発明はこれら例示に限定されるものではない。
実施形態例1
本実施形態例は、第1の発明方法に係る半導体装置の製造方法の実施形態の一例である。図1(a)から(c)、図2(d)から(f)、及び図3(g)と(h)は、それぞれ、本実施形態例の方法により半導体基板上にデュアルダマシン構造を形成する際の各工程の断面図である。図1から図3に示す部位のうち図7から図9に示す部位と同じものには、理解を容易にするために同じ符号を付している。これは、以下の図4から図6でも同じである。
【0028】
先ず、図1(a)に示すように、図示しない基板に堆積された下地絶縁膜1上に、有機膜2と酸化シリコン(SiO2 )膜3からなる積層膜を層間絶縁膜として成膜し、次いで250nmの配線厚となるように、銅(Cu)膜の埋め込み配線4を形成する。
続いて、Cu配線4上に酸化防止層として膜厚50nmの炭化シリコン(SiC)膜5を成膜し、更に接続孔を貫通させる層間絶縁膜として、400nm厚の炭素含有酸化シリコン(SiOC)膜6を成膜する。
【0029】
SiC膜5及びSiOC膜6を成膜する際には、一例として、平行平板型プラズマCVD装置を用い、その際シリコン源として使用するガスは、どちらの膜の成膜の際にもメチルシランである。
また、成膜条件として、基板温度を300〜400℃、プラズマパワーを150〜350W、成膜雰囲気の圧力を100〜1000Pa程度に設定する。
以上の成膜条件により、比誘電率が、それぞれ、5. 0、及び3. 0程度のSiC膜5及びSiOC膜6を成膜することが出来る。
【0030】
次に、SiOC膜6上に、比誘電率2. 6程度の有機ポリマー膜を成膜する。本実施形態例では、有機ポリマー膜としてポリアリールエーテル(PAE)膜7を200nm成膜する。有機ポリマー膜は、前駆体をスピンコート法により堆積した後、400℃〜450℃のキュア処理を行って成膜することが出来る。
PAE膜の他には、BCB膜、ポリイミド膜、アモルファスカーボン膜などを用いることもできる。
続いて、PAE膜7上に、順次、第一マスク形成層として膜厚150nmのSiO2 膜8、第二マスク形成層として膜厚100nmの窒化シリコン(SiN)膜9を、更に、第三マスク形成層として膜厚50nmのSiO2 膜20を成膜する。
次いで、配線溝パターンをレジストパターンとしてを有するレジストマスク10をSiO2 膜20上に形成する。
【0031】
第一マスク形成層としてのSiO2 膜8及び第三マスク形成層としてのSiO2 膜20は、例えばシリコン源としてモノシラン(SiH4 )を用い、酸化剤として一酸化二窒素(N2 O)ガスを用いたプラズマCVD法により成膜することが出来る。
また、SiO2 膜8を形成する際に、下層のPAE膜7の酸化が問題となる場合には、化学量論よりシリコンが多い酸化シリコン膜を形成することが好ましい。
また、第二マスク形成層であるSiN膜9は、SiO2 膜8、20の成膜と同様のプラズマCVD装置により、例えばシリコン源としてモノシラン(SiH4 )を、窒化剤としてアンモニア(NH3 )ガスを、酸化剤として一酸化二窒素(N2 O)ガスを用い、不活性ガスをキャリアガスにして成膜することが出来る。
【0032】
次に、図1(b)に示すように、レジストマスク10上からドライエッチング法により、第三マスク形成層であるSiO2 膜20をエッチングして、配線溝パターン21を有する第3のマスクを形成する。
レジストマスク10を用いてSiO2 膜20をエッチングする際には、一般的なマグネトロン方式のエッチング装置を用い、例えばエッチングガスとしてオクタフルオロブタン(C4 8 )、一酸化炭素(CO)、及びアルゴン(Ar)を用い、ガス流量比(C4 8 :CO:Ar)を1:5:20、バイアスパワーを1200W、基板温度を20℃に設定する。
このエッチング条件下では、SiN膜に対する10以上のエッチング選択比(SiO2 /SiN)を得ることが出来るので、下地の第二マスク形成層であるSiN膜9がエッチングされるようなことは殆ど生じない。
SiO2 膜20をエッチングした後、例えば酸素(O2 )プラズマをベースとしたアッシング処理と有機アミン系の薬液処理を施すことにより、レジストマスク10及びエッチング処理の際に生じた残留付着物を完全に除去する。
【0033】
次に、図1(b)に示すように、接続孔パターンの少なくとも一部が、第3のマスクの配線溝パターン21を構成するSiO2 膜20に重なるようにして、接続孔パターンを有するレジストマスク12を残存するSiO2 膜20及びSiN膜9上に形成する。
レジストマスク12の形成に際し、配線溝パターン21を構成するSiO2 膜20により生じた段差は、概ねSiO2 膜20の膜厚である50nm程度に抑えられるので、平坦部にレジストマスクを形成する場合とほぼ同等のリソグラフィー特性にて、良好な接続孔のレジストパターン形状を得ることができる。
また、塗布系の反射防止膜(BARC)を併用する場合でも、配線溝パターン21の寸法や疎密具合により、BARCの埋め込み形状の変動が微少に抑えられ、露光処理時のレジスト形状悪化や、寸法変動の原因となる焦点深度ばらつきを低減することができる。
【0034】
続いて、接続孔パターンを有するレジストマスク12を用いて、ドライエッチング法により、接続孔形成領域に存在するSiO2 膜20、第二マスク形成層であるSiN膜9、及び第一マスク形成層であるSiO2 膜8をエッチングし、続いて残存するSiN膜9を第2のマスクとしてPAE膜7をエッチングして、図1(c)に示すように、SiOC膜6を露出させる接続孔13を開口する。
尚、レジストマスク12は、PAE膜7をエッチング加工する際に、同時に除去することが出来る。
残存するSiO2 膜20は、配線溝パターンのマスクを形成し、また、エッチングされたSiN膜9は、接続孔パターンを有する第2のマスクを構成する。
【0035】
第三マスク形成層のSiO2 膜20から第一マスク形成層のSiO2 膜8までエッチングして接続孔13を開口する際には、一般的なマグネトロン方式のエッチング装置を用い、例えばエッチングガスとしてオクタフルオロブタン(C4 8 )及びアルゴン(Ar)を用い、ガス流量比(C4 8 :Ar)を1:4、バイアスパワーを400W、基板温度を20℃に設定する。
【0036】
本実施形態例では、このエッチング条件下でエッチング選択比(SiO2 /SiN)が1前後となり、1ステップで三層からなる第1から第3マスク形成層をエッチングして接続孔13を開口している。
しかし、これに限らず、レジスト選択比やエッチング変換差等が問題になる場合は、3ステップエッチングにより順次第一マスク形成層、第二マスク形成層、及び第三マスク形成層をエッチングして、下地マスク形成層又は下地絶縁膜に対し選択的に対象マスク形成層を順次エッチングしていくようにすることも可能である。
【0037】
また、PAE膜7の接続孔開口は、通常の高密度プラズマエッチング装置を用い、エッチングガスとして例えばアンモニア(NH3 )を用い、RFパワーを150W、基板温度を20℃に設定する。
このエッチング条件下で、レジストマスク12のエッチングレートはPAE膜7のそれとほぼ同等であるから、PAE膜7の開口中にレジストマスク12は減肉して行くが、第2のマスクであるSiN膜9がエッチングマスクとして機能して、良好な接続孔の開口形状を得ることが出来る。
因みに、PAE膜7のエッチング条件下で、SiN膜、SiO2 膜、SiOC膜に対するエッチング選択比は100以上になる。
【0038】
次に、図2(d)に示すように、配線溝パターン21を有するSiO2 膜20からなる第3のマスクを用いて、ドライエッチング法により、SiN膜9をエッチングして配線溝パターン22を有する第2のマスクを形成する。
SiN膜9のエッチングでは、例えば一般的なマグネトロン方式のエッチング装置を使用して、例えばエッチングガスとしてのジフルオルメタ(C2 2 )、酸素(O2 )、及びアルゴン(Ar)を用い、ガス流量比(C2 2 :O2 :Ar)を2:1:5、及びバイアスパワーを100Wに設定する。
このエッチング条件下で、SiO2 膜に対するエッチング選択比(SiN/SiO2 )が3程度になるので、第三マスク形成層であるSiO2 膜20の膜厚が50nm程度であれば、第二マスク形成層である膜厚100nmのSiN膜9をエッチングする際、SiO2 膜20の減肉に対して十分な余裕をもって配線溝パターン22を開口することが出来る。
【0039】
また、第3のマスクのSiO2 膜20を用いた、第二マスク形成層のSiN膜9のエッチング工程では、接続孔21の底部に露出するSiOC膜6が途中までエッチングされ、接続孔21が掘り下げられて、接続孔23が開口する。
このエッチング条件下のSiOC膜に対するエッチング選択比(SiN/SiN)は1弱にすることができるので、100nm厚のSiN膜9をエッチングする場合、必要なオーバーエッチング量を含めて接続孔23はSiOC膜6内に150〜200nmの深さまで掘り下げられることになる。
【0040】
次に、第1のマスクであるSiO2 膜8をマスクにして、SiOC膜6の下部層をエッチングして、図2(e)に示すように、SiC膜5を露出させる接続孔14を開口する。
この際、配線溝パターン11が形成された第2のマスクのSiN膜9を用いて、配線溝領域に残存する第1のマスクSiO2 膜8を同時に除去して開口部15を形成する。
【0041】
このエッチングは、例えば一般的なマグネトロン方式のエッチング装置を使用し、例えばエッチングガスとしてのオクタフルオロシクロペンテン(C5 8 )、一酸化炭素(CO)、アルゴン(Ar)、及び酸素(O2 )を用い、ガス流量比(C5 8 :CO:Ar:O2 )を1:10:5:1、バイアスパワーを1600W、及び基板温度を20℃に設定する。
このエッチング条件下で、SiN膜に対する10以上のエッチング選択比(SiOC/SiN)を得ることが出来るので、接続孔底部に残る膜厚200〜250nmのSiOC膜6をエッチングする際、第2のマスクのSiN膜9の膜厚が100nmあれば、SiN膜9の減肉に対して十分に余裕を持って配線溝上方の広がりや肩落ちを抑制した良好な開口形状を得ることが出来る。
【0042】
続いて、図2(f)に示すように、配線溝底部に残存するPAE膜7をエッチングして、配線溝16を開口し、接続孔底部にあるSiC膜5をエッチングすることにより、接続孔14をCu埋め込み層4に連通した所定のデュアルダマシン加工が完了する。
配線溝16を開口するPAE膜7のエッチングは、通常の高密度プラズマエッチング装置を使用して、エッチングガスには例えばアンモニア(NH3 )を用い、RFパワーを150W、及び基板温度を20℃に設定する。
このエッチング条件下で、SiOC膜6に対するエッチング選択比は、100以上になるので、深さばらつき無く、制御性良く配線溝の開口を行うことが出来る。
【0043】
接続孔14の底部にあるSiC膜5のエッチングでは、例えば一般的なマグネトロン方式のエッチング装置にて、例えばエッチングガスとしてジフルオルメタン(CH2 2 )、酸素(O2 )、及びアルゴン(Ar)を用い、ガス流量比(CH2 2 :O2 :Ar)を2:1:5、バイアスパワーを100Wに設定する。
但し、上記エッチング条件ではSiOC膜6に対する選択比は1前後であるため、配線溝16の底部のSiOC膜6の膜掘れが問題になるならば、PAE膜7への配線溝開口前に、SiC膜5のエッチングを行うことも出来る。
尚、SiO2 膜8上に残存するSiN膜9は、接続孔14底部のSiC膜5をエッチングする過程で除去される。
【0044】
薬液を用いた後処理、及びRFスパッタリング処理により、配線溝16や接続孔14の側壁に残留するエッチング付着物を除去し、接続孔14底部のCu変質層を正常のCu層に転化した後、図3(g)に示すように、例えばバリアメタルとしてTa膜17をスパッタリング法により成膜し、Cu膜18を電解めっき法あるいはスパッタリング法により堆積し、配線溝16と接続孔14への導電膜の埋め込みを同時に行う。
【0045】
更に、図3(h)に示すように、堆積したTa膜17、Cu膜18のうち、配線パターンとして不要な部分を化学機械研磨(CMP)法により除去することにより、デュアルダマシン構造の多層配線構造を形成することができる。
本実施形態例では、最終的な上層配線の膜厚は例えば250nm程度となるよう調整される。また、下層配線パターンと同様、酸化防止層として例えばSiC膜19をデュアルダマシン配線18上に成膜する。
【0046】
本工程を経て形成されたデュアルダマシン構造の多層配線は、接続孔パターンを有するレジストマスク12を形成する際、下地層の段差が残存SiO2 膜20の膜厚50nm程度に抑えられるので、高精度の接続孔パターンを有するレジストマスクを形成することができる。高精度の接続孔パターンを有するレジストマスクを使うことにより、微細寸法の接続孔開口を配線溝の形状悪化無く安定して形成することができる。
これにより、良好なヴィアコンタント特性を得ることが出来る。また、本実施形態例の方法を適用することにより、低誘電率層間絶縁膜内に良好な配線形状のデュアルダマシン構造を有する半導体装置を高い歩留まりで製造することができる。
【0047】
実施形態例2
本実施形態例は第2の発明方法に係る半導体装置の製造方法の実施形態の一例であって、図4(a)と(b)、図5(c)から(e)、及び図6(f)から(h)は、それぞれ、本実施形態例の方法でデュアルダマシン構造を形成する際の各工程の断面図である。
実施形態例1と同様に、図4(a)に示すように、図示しない基板に堆積された下地絶縁膜1上に、有機膜2と酸化シリコン(SiO2 )膜3とからなる積層膜を層間絶縁膜にして、銅(Cu)膜の埋め込み配線4を形成する。
【0048】
更に、Cu配線4上に酸化防止層として膜厚50nmの炭化シリコン(SiC)膜5を成膜し、続いて、400nm厚の炭素含有酸化シリコン(SiOC)膜6、及び比誘電率2. 6程度の有機ポリマーとして膜厚200nmのポリアリールエーテル(PAE)膜7を成膜する。
続いて、PAE膜7上に、順次、第一マスク形成層として膜厚150nmのSiO2 膜8、第二マスク形成層として例えば膜厚100nmの窒化シリコン(SiN)膜9を成膜する。
【0049】
次に、本実施形態例では、第三マスク形成層として、例えばアルゴンプラズマを用いたシリコンターゲットのスパッタリングにより50nm厚のアモルファスシリコン(a−Si)膜24を成膜する。つまり、本実施形態例では、実施形態例1のSiO2 膜20に代えて、第三マスク形成層としてa−Si膜24を成膜する。
更に、接続孔パターンを有するレジストマスク12をa−Si膜24上に形成する。
【0050】
図4(b)に示すように、接続孔パターンのレジストマスク12を用いて、ドライエッチング法により、第三マスク形成層のa−Si膜24、第二マスク形成層のSiN膜9、及び第一マスク形成層のSiO2 膜8の途中までエッチングして、接続孔パターン25を形成する。
次いで、例えば酸素(O2 )プラズマをベースとしたアッシング処理と有機アミン系の薬液処理を行うことにより、レジストマスク12とエッチング処理で生じた残留付着物を完全に除去する。
【0051】
第三マスク形成層のa−Si膜24のエッチングは、通常のプラズマエッチング装置を使用して、例えばエッチングガスとして、塩素(Cl2 )、臭化水素酸(HBr)、及び酸素(O2 )を用い、ガス流量比(Cl2 :HBr:O2 )を10:2:1、RFパワーを20W、基板温度を0℃に設定する。
【0052】
第二マスク形成層のSiN膜9及び第一マスク形成層のSiO2 膜8のエッチングは、一般的なマグネトロン方式のエッチング装置を用い、例えばエッチングガスとしてオクタフルオロブタン(C4 8 )及びアルゴン(Ar)を用い、ガス流量比(C4 8 :Ar)を1:4、バイアスパワーを400W、基板温度を20℃に設定する。
このエッチング条件下のエッチング選択比(SiO2 /SiN)は1前後であるから、本実施形態例では、SiN膜9及びSiO2 膜8を一括してエッチングしているが、レジスト選択比やエッチング変換差等が問題になる場合は2ステップエッチングにより順次第二マスク形成層のSiN膜9を下地層のSiO2 膜8に対して選択的にエッチング除去し、次いでレジスト選択比の高いエッチング条件に切り換えて、第一マスク形成層のSiO2 膜8をエッチングすることもできる。
尚、第一マスク形成層のSiO2 膜8のエッチング量は、PAE膜7が露出しないように、例えばPAE膜7上に50〜100nmのSiO2 膜が残存するように設定する。
【0053】
次に、図5(c)に示すように、接続孔パターン25を有する第3のマスクを構成するa−Si膜24に、少なくとも配線溝パターンの一部が重なるように、配線溝パターンを有するレジストマスク11を形成する。
【0054】
レジストマスク11の形成に際し、レジストマスク12の下地層には、a−Si膜24、SiN膜9、及びSiO2 膜8の上部層からなる200nm前後の段差が発生しているため、配線溝のパターンやマスクの合わせずれにより、図5(c)に示すように、レジストマスク11が接続孔段差の底部付近ですそ引き形状となる場合がある。
しかし、配線溝パターンのレジストマスク11は、第3のマスクであるa−Si膜24のみをエッチング出来れば良いのであるから、仮にレジストマスク11にすそ引きがあっても、a−Si膜24のエッチングには支障が生じない。よって、本実施形態例で、レジストマスク11のすそ引き形状は全く問題にはならない。
また、本実施形態例では、処理済みの配線溝のパターンが製品規格を満たさない場合でも、PAE膜7が露出していないので、レジスト再生処理を低誘電率膜への損傷無く行うことが可能である。
【0055】
次に、配線溝パターンのレジストマスク11を用いて、ドライエッチング法により、配線溝開口部に残存する第3のマスクのa−Si膜24をエッチングして、図5(d)に示すように、配線溝パターン21を形成する。
このエッチングは、通常のプラズマエッチング装置を使用して、例えばエッチングガスとして、塩素(Cl2 )、臭化水素酸(HBr)、及び酸素(O2 )を用い、ガス流量比(Cl2 :HBr:O2 )を10:2:1、RFパワーを20W、基板温度を0℃に設定する。
【0056】
このエッチング条件下で、SiN膜に対するエッチング選択比(Si/SiN)として20以上を得ることが出来るので、下地の第2のマスクとなるSiN膜9がエッチングされるようなことは殆どない。
また、SiO2 膜に対するエッチング選択比(Si/SiO2 )も20以上の選択比が得られるので、接続孔底部に残存する第一マスク形成層のSiO2 膜8が局所的にエッチングされるようなことは生じない。
そして、続いて、酸素(O2 )プラズマをベースとしたアッシング処理と有機アミン系の薬液処理を行うことにより、レジストマスク11とエッチング処理の際に生じた残留付着物は、PAE膜7に損傷を与えること無く完全に除去することが出来る。
【0057】
次いで、接続孔パターン25(図4(b)参照)が形成されている第2のマスク形成層のSiN膜9を用いて、図5(e)に示すように、ドライエッチング法により接続孔底部に残留するSiO膜8及びPAE膜7をエッチングして接続孔23を形成する。
【0058】
SiO2 膜8のエッチングは、一般的なマグネトロン方式のエッチング装置を用い、例えばエッチングガスとしてオクタフルオロブタン(C4 8 )、一酸化炭素(CO)、及びアルゴン(Ar)を用い、ガス流量比(C4 8 :CO:Ar)を1:5:20、バイアスパワーを1200W、基板温度を20℃に設定する。
このエッチング条件下では、SiN膜に対する10以上のエッチング選択比(SiO2 /SiN)を得ることが出来るので、第2のマスクとなるSiN膜9は殆どエッチングされることはない。
【0059】
また、PAE膜7をエッチングしえ接続孔23を開口する際には、通常の高密度プラズマエッチング装置を使用し、エッチングガスには例えばアンモニア(NH3 )を用い、RFパワーを150W、及び基板温度を20℃に設定する。
このエッチング条件下で、SiN膜、SiO2 膜、及びSiOC膜に対するエッチング選択比は、100以上になる。
【0060】
更に、配線溝パターン22が形成された第3のマスクのa−Si膜24を用いて、図6(f)に示すように、ドライエッチング法により、第2のマスクとなるSiN膜9の配線溝パターン22を形成する。
このエッチングは、例えば一般的なマグネトロン方式のエッチング装置にて、例えばエッチングガスとしてジフルオルメタン(CH2 2 )、酸素(O2 )、及びアルゴン(Ar)を用い、ガス流量比(CH2 2 :O2 :Ar)を2:1:5、バイアスパワーを100Wに設定する。
このエッチング条件下では、a−Si膜に対する10程度のガス選択比(SiN/Si)を得ることが出来るので、第3のマスクのa−Si膜24が例えば30nm以上あれば、余裕を持って第二マスク形成層の100nm厚さのSiN膜9を開口することが出来る。
【0061】
また、第3のマスクのa−Si膜24を用いて第2のマスクのSiN膜9をエッチングする際、接続孔底部に露出するSiOC膜6を途中までエッチングして接続孔23を掘り下げることができる。
このエッチング条件下では、SiOC膜に対するエッチング選択比(SiN/SiOC)を1弱にすることができるので、100nm厚のSiN膜9をエッチングする場合、必要なオーバーエッチング量を含めて接続孔23はSiOC膜6内に150〜200nmの深さまで掘り下げられることになる。
【0062】
次に、図6(g)に示すように、接続孔23の底部に残ったSiOC膜6をエッチングして接続孔14を開口する。ここで、配線溝パターン11が形成されている第2のマスクのSiN膜9を用いて、同時に、配線溝領域に残存する第1のマスクのSiO2 膜8をエッチングして開口部15を形成する。
【0063】
このエッチングは、一般的なマグネトロン方式のエッチング装置を使用し、例えばエッチングガスとしてのオクタフルオロシクロペンテン(C5 8 )、一酸化炭素(CO)、アルゴン(Ar)、及び酸素(O2 )を用い、ガス流量比(C5 8 :CO:Ar:O2 )を1:10:5:1、バイアスパワーを1600W、及び基板温度を20℃に設定する。
このエッチング条件下では、SiN膜に対する10以上のエッチング選択比(SiOC/SiN)を得ることが出来るので、第2のマスクのSiN膜9の膜厚が100nmあれば、接続孔底部に残る膜厚200〜250nmのSiOC膜6をエッチングする際、十分に余裕を持って配線溝上方の広がりや肩落ちを抑制した良好な開口形状を得ることが出来る。
【0064】
次いで、図6(h)に示すように、実施形態例1と同様にして、配線溝パターン15の底部に残存するPAE膜7をエッチングして配線溝16を形成し、接続孔14底部にあるSiC膜5をエッチングして、接続孔14をCu埋め込み層4に連通させて、所定のデュアルダマシン加工を完了する。
以下、図示しないが、実施形態例1と同様にして、デュアルダマシン構造の多層配線構造を得ることができる。
尚、配線溝領域外に残存した第2のマスクのSiN膜9は、接続孔底部のSiC膜5をエッチングする過程で除去される。
【0065】
上述した工程を経て形成されたデュアルダマシン構造の多層配線は、接続孔パターンを有するレジストマスク12を形成する際の下地層の段差が殆ど無いので、高精度の接続孔パターンを有するレジストマスク12を形成することができる。このレジストマスク21を使うことにより、配線溝の形状悪化無く安定して微細寸法の接続孔開口を形成することができるので、良好なヴィアコンタント特性を得ることが出来る。
また、接続孔のパターニングを行った後、配線溝のパターニングを行い、上層配線と接続孔のマスクアライメントが間接合わせとならないので、アライメント誤差の小さい多層配線を形成することができる。
本実施形態例の各工程を含むデュアルダマシンプロセスにより、高精度でパターニングされた多層配線構造を有する半導体装置を高い歩留まりで製造することができる。
【0066】
実施形態例1及び2に記載の層間絶縁膜は、表記された膜種、膜厚、成膜方法に限定されることはない。Cu膜の酸化防止層として堆積したSiC膜5、19は、CVD法により形成されるSiN膜としてもよく、SiC膜中に窒素(N2 )や水素(H)等の軽元素が含有した膜を用いても良い。
接続孔層間膜となるSiOC膜6と配線層間膜となるPAE膜7の積層構造は、例えばSiOC膜の代わりに、CVD法により成膜されたSiOF膜やSiO2 膜、スピンコート法により形成されるMSQ膜やHSQ膜でもよく、PAE膜の代わりに、ポリアリレンエーテル膜、アモルファスカーボン膜、ポリテトラトラフロロエチレン膜の適用も可能である。さらに、キセロゲル膜、多孔質構造を有するMSQ膜や有機ポリマー等の適用や、これらの組み合わせでも良い。
【0067】
また、実施形態例1及び2では、PAE膜とMSQ膜の上に成膜された第一マスク形成層のSiO2 膜8、第2マスク形成層のSiN膜9、及び第3マスク形成層のSiO2 膜20又はa−Si膜24は、それぞれ、膜厚が150nm、100nm、及び50nmとなっているが、上層マスクを用いて下層マスクをエッチング出来る組み合わせの膜種、膜厚、製法であれば、実施形態例1及び2の例示に限る必要がないことは勿論である。
例えば、第二マスク形成層をSiN膜に代えて、CVD法で成膜したSiC膜としても良く、また、エッチング選択比が許す限り、各マスク形成層を薄膜化することもできる。
【0068】
また、第三マスク形成層に対してエッチング選択比が大きな第四のエッチングマスクを形成して更に薄膜化してゆくことにより、最上層マスク段差を低減していくことも可能である。その場合は、接続孔の開口をPAE層7まで行った後に、順次、上層マスクを用いたエッチングにより配線溝パターンを下層マスク形成層に転写していけば良い。
【0069】
実施形態例1では、最上層の第三マスク形成層としてSiO2 膜20を成膜しているが、スパッタリング法により成膜したアモルファスシリコン膜(a−Si)にすることにより、更に薄膜化することもできる。
【0070】
実施形態例2では、最上層の第三マスク形成層をa−Si膜に代えてSiO2 膜とすることも可能であるが、第一マスク形成層と同一材料である場合には、図5(d)に示す接続孔底部のSiO2 膜の残し量に注意を払わないと、PAE膜7が露出する危険性がある。
【0071】
実施形態例1及び2では、SiO2 膜8は、図3(g)等に示すように、最終的に配線層間として約50nm残存させているが、バリアメタルとの密着性やCu−CMP工程における機械的強度、もしくはCu酸化防止層19を成膜する前に行われるCu酸化物の還元処理時の損傷が問題にならなければ、第一マスク形成層をSiO2 膜に代えて、SiOF膜、MSQ膜、HSQ膜等の無機系低誘電率膜とすることも可能である。
また、同様の制限が許す限り、第1のマスクをデュアルダマシンエッチング工程やCu−CMP工程にて除去することも可能である。
【0072】
【発明の効果】
本発明によれば、低誘電率層間絶縁膜内にデュアルダマシン構造の多層配線を有する半導体装置の製造に際し、低誘電率層間絶縁膜上に少なくとも2種類以上の材料を用いて、3層以上のエッチングマスクを構成とすることにより、接続孔形成のためのレジストマスクを形成する際の下地層の段差を低減することができる。
また、3層以上のエッチングマスク構造により、接続孔を層間膜途中まで開口後に最上層マスクを用いて下層マスクに配線溝パターンを形成するとともに、接続孔の開口をさらに下層配線近くまで進めることにより、その後のエッチングマスクを用いた接続孔開口に要するエッチング量を低減でき、さらなるエッチングマスク段差の低減、もしくは良好なデュアルダマシン加工形状を得ることが可能となる。
また、低誘電率膜上に少なくとも2種類以上の材料を用いて、3層以上のエッチングマスク構成とすることにより、接続孔の一部開口後に配線溝のレジストパターニングを行うことが可能となり、配線溝と接続孔のマスクアライメントにて間接合わせを回避することが出来る。
本発明方法を適用することにより、デュアルダマシン構造の多層配線を有する高集積微細で高性能半導体装置を高歩留まりで製造することができる。
【図面の簡単な説明】
【図1】図1(a)から(c)は、それぞれ、実施形態例1の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図2】図2(d)から(f)は、それぞれ、図1(c)に続いて、実施形態例1の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図3】図3(g)と(h)は、それぞれ、図2(f)に続いて、実施形態例1の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図4】図4(a)と(b)は、それぞれ、実施形態例2の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図5】図5(c)から(e)は、それぞれ、図4(b)に続いて、実施形態例2の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図6】図6(f)から(h)は、それぞれ、図5(e)に続いて、実施形態例2の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図7】図7(a)と(b)は、それぞれ、従来の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図8】図8(c)から(e)は、それぞれ、図7(b)に続いて、従来の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図9】図9(f)と(g)は、それぞれ、図8(e)に続いて、従来の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【符号の説明】
1……下地絶縁膜、2……有機膜、3……酸化シリコン(SiO2 )膜、4……Cu埋め込み配線、5……炭化シリコン(SiC)膜、6……炭素含有酸化シリコン(SiOC)膜、7……ポリアリールエーテル(PAE)膜、8……SiO2 膜、9……SiN膜、10……レジストマスク、11……第2のマスク、12……レジストマスク、13、14……接続孔、15……開口部、16……配線溝、17……Ta膜、、18……Cu膜、19……SiC膜、20……SiO2 膜、21……配線溝パターン、22……配線溝パターン、23……接続孔、24……a−Si膜、25……接続孔パターン。

Claims (7)

  1. 有機絶縁膜を含む層間絶縁膜を備えた半導体装置の製造方法において、
    (イ)半導体基板上に、接続孔を貫通させる絶縁膜として第一の絶縁膜、及び配線層間の絶縁膜として有機絶縁膜からなる第二の絶縁膜を順次成膜する工程と、
    (ロ)前記第二の絶縁膜上に、第1のマスク、第2のマスク、及び第3のマスクをそれぞれ形成する、第一マスク形成層、第二マスク形成層、及び第三マスク形成層を順次成膜する工程と、
    (ハ)前記第三マスク形成層をパターニングして配線溝パターンを有する第3のマスクを形成する工程と、
    (ニ)前記第3のマスクを含む第二マスク形成層上に接続孔パターンを有するレジストマスクを形成する工程と、
    (ホ)前記レジストマスクを使って前記第3のマスク、前記第二マスク形成層、及び前記第一マスク形成層をエッチングし、更に前記第二の絶縁膜をエッチングして、接続孔を開口する工程と、
    (ヘ)前記第3のマスクを用いて前記第二マスク形成層をエッチングして、配線溝パターンを有する第2のマスクを形成すると共に、前記第一の絶縁膜の途中まで接続孔を開口する工程と、
    (ト)前記第2のマスクを用いて前記第一マスク形成層をエッチングして、配線溝パターンを有する第1のマスクを形成すると共に、前記接続孔の底部に残存する前記第一の絶縁膜をエッチングして接続孔を開口する工程と、
    (チ)前記第1ないしは第2のマスクを用いて前記第二の絶縁膜をエッチングし、前記第二の絶縁膜に配線溝を形成する工程と、
    (リ)少なくとも前記第2及び第3のマスクを除去する工程と
    を有することを特徴とする半導体装置の製造方法。
  2. 有機絶縁膜を含む層間絶縁膜を備えた半導体装置の製造方法において、
    (イ)半導体基板上に、接続孔を貫通させる絶縁膜として第一の絶縁膜、及び配線層間の絶縁膜として有機絶縁膜からなる第二の絶縁膜を順次成膜する工程と、
    (ロ)前記第二の絶縁膜上に、第1のマスク、第2のマスク、及び第3のマスクをそれぞれ形成する、第一マスク形成層、第二マスク形成層、及び第三マスク形成層を順次成膜する工程と、
    (ハ)前記第三マスク形成層上に接続孔パターンを有する第1のレジストマスクを形成する工程と、
    (ニ)前記第1のレジストマスクを用いて、前記第三マスク形成層、前記第二マスク形成層、及び前記第一マスク形成層の途中まで接続孔を開口する工程と、
    (ホ)前記第三マスク形成層上に配線溝パターンを有する第2のレジストマスクを形成し、前記第2のレジストマスクを用いて前記第三マスク形成層をエッチングして前記第3のマスクを形成する工程と、
    (へ)前記第2のマスク形成層を用いて前記第一マスク形成層及び前記第二の絶縁膜をエッチングして接続孔を開口する工程と、
    (ト)前記第3のマスクを用いて前記第二マスク形成層をエッチングして、配線溝パターンを有する第2のマスクを形成すると共に、前記第一の絶縁膜を途中までエッチングして接続孔を形成する工程と、
    (チ)前記第2のマスクを用いて前記第一マスク形成層をエッチングして、配線溝パターンを有する第1のマスクを形成すると共に、前記第一の絶縁膜をエッチングして接続孔を開口する工程と、
    (リ)前記第1ないしは第2のマスクを用いて前記第二の絶縁膜をエッチングして、配線溝を形成する工程と、
    (ヌ)少なくとも前記第2、及び第3のマスクを除去する工程と
    を有することを特徴とした半導体装置の製造方法。
  3. 前記工程(イ)では、前記第一の絶縁膜としてメチルシルセスキオキサン膜を、第二の絶縁膜として有機膜を成膜することを特徴とする請求項1又は2に記載の半導体装置の製造方法。
  4. 前記工程(ロ)では、前記第一、第二、及び第三マスク形成層を光透過性を有する材料で成膜することを特徴とする請求項1又は2に記載の半導体装置の製造方法。
  5. 前記工程(ロ)では、上層のマスク形成層に形成したマスクを用いて反応性イオンエッチング法により下層のマスク形成層を加工出来る材料で、前記第一、第二、及び第三マスク形成層を成膜することを特徴とする請求項1又は2に記載の半導体装置の製造方法。
  6. 前記工程(ロ)では、前記第一マスク形成層としてシリコン酸化膜、前記第二マスク形成層としてシリコン窒化膜、及び前記第三マスク形成層としてシリコン酸化膜をそれぞれ成膜することを特徴とする請求項1に記載の半導体装置の製造方法。
  7. 前記工程(ロ)では、前記第一マスク形成層としてシリコン酸化膜、前記第二マスク形成層としてシリコン窒化膜、及び前記第三マスク形成層として非晶質シリコン膜をそれぞれ成膜することを特徴とする請求項2に記載の半導体装置の製造方法。
JP2002221069A 2002-07-30 2002-07-30 半導体装置の製造方法 Expired - Fee Related JP4193438B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2002221069A JP4193438B2 (ja) 2002-07-30 2002-07-30 半導体装置の製造方法
TW092119693A TWI254379B (en) 2002-07-30 2003-07-18 Method for manufacturing a semiconductor device
US10/522,486 US20060166482A1 (en) 2002-07-30 2003-07-29 Semiconductor device manufacturing device
KR1020057001516A KR20050027133A (ko) 2002-07-30 2003-07-29 반도체장치의 제조방법
PCT/JP2003/009602 WO2004012254A1 (ja) 2002-07-30 2003-07-29 半導体装置の製造方法
US11/863,403 US7534721B2 (en) 2002-07-30 2007-09-28 Semiconductor device manufacturing device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002221069A JP4193438B2 (ja) 2002-07-30 2002-07-30 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2004063859A JP2004063859A (ja) 2004-02-26
JP4193438B2 true JP4193438B2 (ja) 2008-12-10

Family

ID=31184831

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002221069A Expired - Fee Related JP4193438B2 (ja) 2002-07-30 2002-07-30 半導体装置の製造方法

Country Status (5)

Country Link
US (2) US20060166482A1 (ja)
JP (1) JP4193438B2 (ja)
KR (1) KR20050027133A (ja)
TW (1) TWI254379B (ja)
WO (1) WO2004012254A1 (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4256347B2 (ja) * 2003-04-30 2009-04-22 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP2005203429A (ja) * 2004-01-13 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
JP2005203672A (ja) * 2004-01-19 2005-07-28 Sony Corp 半導体装置の製造方法
JP2006024811A (ja) * 2004-07-09 2006-01-26 Sony Corp 半導体装置の製造方法
JP2006253645A (ja) 2005-02-14 2006-09-21 Sony Corp 半導体装置の製造方法および半導体装置
JP4788415B2 (ja) 2006-03-15 2011-10-05 ソニー株式会社 半導体装置の製造方法
JP4321570B2 (ja) 2006-09-06 2009-08-26 ソニー株式会社 半導体装置の製造方法
JP5162869B2 (ja) * 2006-09-20 2013-03-13 富士通セミコンダクター株式会社 半導体装置およびその製造方法
JP4237216B2 (ja) * 2006-10-05 2009-03-11 Necエレクトロニクス株式会社 半導体装置の製造方法
US7521358B2 (en) * 2006-12-26 2009-04-21 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
JP2008288430A (ja) * 2007-05-18 2008-11-27 Toshiba Corp 半導体装置の製造方法
KR100876816B1 (ko) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US20110165719A1 (en) * 2008-03-13 2011-07-07 Florian Solzbacher Methods of forming an embedded cavity for sensors
CN103503122B (zh) 2011-05-24 2016-05-18 索尼公司 半导体装置
CN103828028B (zh) * 2011-09-05 2016-08-17 Spp科技股份有限公司 等离子体蚀刻方法
JP5957840B2 (ja) * 2011-10-04 2016-07-27 ソニー株式会社 半導体装置の製造方法
US9257298B2 (en) * 2014-03-28 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for in situ maintenance of a thin hardmask during an etch process
US9576894B2 (en) * 2015-06-03 2017-02-21 GlobalFoundries, Inc. Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same
US10153161B1 (en) * 2017-11-27 2018-12-11 Nanya Technology Corporation Method for manufacturing a semiconductor structure
KR102440139B1 (ko) 2017-12-15 2022-09-06 삼성전자주식회사 반도체 소자
US11101175B2 (en) * 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
CN110323181B (zh) * 2019-07-17 2021-08-24 武汉新芯集成电路制造有限公司 一种半导体器件的制造方法
CN113097056A (zh) * 2019-12-23 2021-07-09 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1189224A (zh) 1995-06-26 1998-07-29 美国3M公司 可在反射和透射状态之间转换的光板
US5799507A (en) 1996-10-25 1998-09-01 Elcor Corporation Hydrocarbon gas processing
EP1063563B1 (en) 1999-01-07 2003-04-16 Citizen Watch Co. Ltd. Lcd device and method of manufacture thereof
JP3436221B2 (ja) * 1999-03-15 2003-08-11 ソニー株式会社 半導体装置の製造方法
JP2002026122A (ja) * 2000-07-04 2002-01-25 Sony Corp 半導体装置の製造方法
JP4377040B2 (ja) * 2000-07-24 2009-12-02 Necエレクトロニクス株式会社 半導体の製造方法
US20030064582A1 (en) * 2001-09-28 2003-04-03 Oladeji Isaiah O. Mask layer and interconnect structure for dual damascene semiconductor manufacturing

Also Published As

Publication number Publication date
TW200411771A (en) 2004-07-01
JP2004063859A (ja) 2004-02-26
US7534721B2 (en) 2009-05-19
TWI254379B (en) 2006-05-01
WO2004012254A1 (ja) 2004-02-05
US20080026563A1 (en) 2008-01-31
US20060166482A1 (en) 2006-07-27
WO2004012254A8 (ja) 2004-04-15
KR20050027133A (ko) 2005-03-17

Similar Documents

Publication Publication Date Title
JP4193438B2 (ja) 半導体装置の製造方法
JP3778174B2 (ja) 半導体装置及びその製造方法
US7176120B2 (en) Method of manufacturing semiconductor device
US6689695B1 (en) Multi-purpose composite mask for dual damascene patterning
KR100487948B1 (ko) 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
JP4486303B2 (ja) 半導体装置の相互接続構造においてバイアとトレンチの間に生じ得るミスアライメントに起因する影響を回避するための方法
JP3501280B2 (ja) 半導体装置の製造方法
US7259089B2 (en) Semiconductor device manufacturing method that includes forming a wiring pattern with a mask layer that has a tapered shape
JP2002043419A (ja) 半導体装置の製造方法及び半導体装置
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
JP2006253645A (ja) 半導体装置の製造方法および半導体装置
JP2004055781A (ja) 半導体装置の製造方法
KR101192410B1 (ko) 절연층들에 대한 식각 선택성을 증가시키기 위해 폴리머잔류물을 이용한 배선 구조 형성 방법
TW200414425A (en) Manufacturing method of semiconductor device
US20040048203A1 (en) Method of manufacturing a semiconductor device for high speed operation and low power consumption
JP2006165214A (ja) 半導体装置およびその製造方法
JP2005217223A (ja) 半導体装置の製造方法
JP2005353633A (ja) 半導体装置の製造方法および半導体装置
KR20010058563A (ko) 쌍상감법을 이용한 반도체 메모리 소자의 패턴 형성 방법
KR100460745B1 (ko) 듀얼 다마신 패턴 형성 방법
JPH08264644A (ja) 接続孔を形成する工程を有する半導体装置の製造方法
JP2007027447A (ja) 半導体装置の製造方法
KR20050023204A (ko) 반도체 장치의 제조방법
JP3958071B2 (ja) 半導体装置製造方法
JP2006332408A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20040319

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040419

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20040604

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071009

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080610

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080811

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080902

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080915

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111003

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees