KR100876816B1 - 반도체 소자의 미세 패턴 형성 방법 - Google Patents

반도체 소자의 미세 패턴 형성 방법 Download PDF

Info

Publication number
KR100876816B1
KR100876816B1 KR1020070065126A KR20070065126A KR100876816B1 KR 100876816 B1 KR100876816 B1 KR 100876816B1 KR 1020070065126 A KR1020070065126 A KR 1020070065126A KR 20070065126 A KR20070065126 A KR 20070065126A KR 100876816 B1 KR100876816 B1 KR 100876816B1
Authority
KR
South Korea
Prior art keywords
pattern
forming
photoresist
spin
semiconductor device
Prior art date
Application number
KR1020070065126A
Other languages
English (en)
Inventor
이기령
복철규
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020070065126A priority Critical patent/KR100876816B1/ko
Priority to US11/964,693 priority patent/US7989145B2/en
Priority to TW097100154A priority patent/TWI364781B/zh
Priority to CN2008100004312A priority patent/CN101335198B/zh
Priority to JP2008046314A priority patent/JP2009016788A/ja
Application granted granted Critical
Publication of KR100876816B1 publication Critical patent/KR100876816B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Abstract

본 발명은 반도체 소자의 패턴 형성 방법에 관한 것으로, 보다 구체적으로 피식각층 상부에 스핀 온 카본층을 형성하고, 그 상부에 제1 식각 마스크 패턴으로 실리콘 함유 중합체를 포함하는 반사방지막 패턴을 형성하고, 상기 제1 식각 마스크 패턴 사이에 제2 식각 마스크 패턴으로 실리콘 함유 중합체를 포함하는 포토레지스트 패턴을 형성한 다음, 상기 식각 마스크 패턴을 이용하여 스핀 온 카본층을 식각함으로써, 공정 단계 및 제조 비용을 감소할 수 있을 뿐만 아니라, 식각 공정 후 균일한 패턴 프로파일을 얻을 수 있는 반도체 소자의 패턴 형성 방법을 제공한다.

Description

반도체 소자의 미세 패턴 형성 방법{Method for Forming Fine Pattern of Semiconductor Device}
도 1은 종래 포지티브형 이중 패터닝 방법을 도시한 공정 개략도.
도 2는 종래 네거티브형 이중 패터닝 방법을 도시한 공정 개략도.
도 3은 종래 포지티브형 스페이서 패터닝 방법을 도시한 공정 개략도.
도 4는 종래 네거티브형 스페이서 패터닝 방법을 도시한 공정 개략도.
도 5a 내지 도 5e는 본 발명의 일 실시예에 따른 반도체 소자의 미세 패턴 형성 방법을 도시한 공정 개략도.
<도면의 주요 부분에 대한 간단한 설명>
1, 21, 31, 41, 121: 피식각층 3, 23, 33, 43: 비정질 탄소층
5, 25, 35, 45: 제1 하드마스크막 7, 27, 37, 47: 제2 하드마스크막
5-1, 25-1, 35-1, 45-1: 제1 하드마스크막 패턴
7-1, 27-1, 37-1, 47-1: 제2 하드마스크막 패턴
8, 28, 38, 48, 126: 제1 포토레지스트 패턴
9, 29: 제2 포토레지스트 패턴 39, 49: 스페이서(spacer)
50: 갭 필 물질 122: 스핀 온 카본층
122-1: 스핀 온 카본층 패턴
124: 실리콘 함유 중합체를 포함하는 반사방지막
124-1: 실리콘 함유 중합체를 포함하는 반사방지막 패턴
130: 노광 마스크
128: 실리콘 함유 중합체를 포함하는 포토레지스트막
128-1: 실리콘 함유 중합체를 포함하는 포토레지스트 패턴
본 발명은 반도체 소자의 미세 패턴 형성 방법에 관한 것이다.
오늘날 컴퓨터와 같은 정보 매체의 급속한 보급에 따라 반도체 장치도 비약적으로 발전하고 있다. 그 기능 면에 있어서, 반도체 장치는 고속으로 동작하는 동시에 대용량의 저장 능력을 가져야 한다. 이러한 요구에 부응하기 위하여 제조 원가는 낮으면서 집적도, 신뢰도 및 데이터를 액세스(access)하는 전기적 특성이 향상된 반도체 소자를 제조하기 위한 공정 설비나 공정 기술의 개발이 절실히 요구된다.
소자의 집적도를 향상시키기 위한 방법 중 하나로 포토리소그라피 기술이 있다. 상기 포토리소그라피 기술은 ArF(193nm) 또는 VUV(157nm)와 같은 단파장의 화학증폭형의 원자외선(Deep Ultra Violet; DUV) 광원을 사용하는 노광 기술과, 상기 노광원에 적합한 포토레지스트 물질을 이용하여 미세한 패턴을 형성하는 기술이다.
반도체 소자 크기가 점점 미세화 되어감에 따라, 상기 포토리소그라피 기술 적용 시에 패턴 선폭의 임계 치수(critical dimension)를 제어하는 것이 중요한 문제로 대두되었다. 일반적으로 반도체 소자의 속도는 패턴 선폭의 임계 치수, 즉 패턴의 선폭 크기가 작을수록 빨라져, 소자 성능이 향상된다.
하지만, 통상 개구수가 1.2 이하인 ArF 노광 장비를 사용하는 포토리소그라피 기술의 한계 상 1회 노광 공정으로는 40nm 이하의 라인 앤 스페이스(line/space) 패턴 등을 형성하기 어렵다. 또한, 고지수 유체(high index fluid) 물질을 사용함과 동시에 높은 개구수의 노광 장비를 사용한다 하더라도 30nm 이하의 L/S 패턴을 형성할 수 없다. 이를 개선하기 위하여 EUV (extreme ultra violet)(32)nm 파장의 노광원을 적용하는 경우, 이에 적합한 노광 장비 및 레지스트를 새로 개발해야 하므로 제조 비용이 증가한다.
따라서, 포토리소그라피 기술의 해상도 향상 및 공정 마진 확장 일환으로 이중 패터닝 기술(double patterning technology)을 개발하려는 연구가 활발히 진행되고 있다. 이중 패터닝 기술은 포토레지스트가 도포 된 웨이퍼 상에 두 개의 마스크를 각각 노광하여 현상하는 기술로서, 복잡한 패턴이나, 조밀한(dense) 패턴 및 분리된 패턴(isolated pattern)을 형성하는 기술이다.
이때, 상기 이중 패터닝 기술은 패터닝을 위해 상이한 두 개의 마스크를 이용하기 때문에, 단일 마스크를 사용하는 패터닝 기술보다 제조 원가와 시간 대비 효율성(turn-around-time)이 낮아, 생산율(throughput)이 저하된다. 또한, 셀 영역에서 노광 장비의 해상력 한계보다 작은 피치를 가지는 패턴을 형성할 때, 가공 이 미지(aerial image)가 중첩되어 원하는 형태의 패턴을 얻을 수 없고, 얼라이먼트(alignment) 시에 오버레이(overlay) 오정렬(miss align)이 발생하는 등 여러 가지 단점이 있다.
이런 단점을 개선하기 위하여, i) 이중 노광 및 식각 기술(double expose etch technology; 이하 “DEET”라 칭함) 및 ii) 스페이서 패터닝 기술(spacer patterning technology; 이하 “SPT”라 칭함)이 개발되어 현재 반도체 소자 양산 공정에 적용되고 있다.
상기 i) DEET는 원하는 패턴 선폭보다 두 배의 선폭을 가지는 제1 패턴을 형성한 다음, 제1 패턴 사이에 똑같은 선폭 주기를 가지는 제2 패턴을 형성하는 기술이다. 보다 구체적으로, 상기 DEET는 a) 포지티브 방법과 b) 네거티브 방법으로 나눌 수 있다.
a) 포지티브 방법은 도 1에 도시한 바와 같이 피식각층(1) 상부에 비정질 탄소층(3), 제1 하드마스크막(5), 제2 하드마스크막(7) 및 제1 포지티브 포토레지스트 패턴(8)을 형성한 다음, 제1 포토레지스트 패턴(8)을 식각 마스크로 이용하여 제2 하드마스크막 패턴(7-1)을 형성한다. 이어서, 상기 제2 하드마스크막 패턴(7-1) 사이에 제2 포지티브 포토레지스트 패턴(9)을 형성한 다음, 먼저 형성된 제2 하드마스크막 패턴(7-1)과 후에 형성된 제2 포토레지스트 패턴(9)을 모두 식각 마스크로 이용하여 제1 하드마스크 패턴(3-1)을 형성하는 방법이다.
b) 네거티브 방법은 도 2에 도시한 바와 같이 피식각층(21) 상부에 비정질 탄소층(23), 제1 하드마스크막(25), 제2 하드마스크막(27) 및 제1 네거티브 포토레 지스트 패턴(28)을 형성한 다음, 제1 네거티브 포토레지스트 패턴(28)을 식각 마스크로 이용하여 제2 하드마스크막 패턴(27-1)을 형성한다. 이어서, 상기 제2 하드마스크막 패턴(27-1) 상부에 제2 네거티브 포토레지스트 패턴(29)을 형성한 다음, 이를 식각 마스크로 이용하여 제2 하드마스크막 패턴(27-1) 및 제1 하드마스크막(25)을 식각하여 제1 하드마스크 패턴(25-1)을 형성하는 방법이다.
이때, 상기 DEET는 두 종류의 마스크를 사용하기 때문에 원하는 해상도를 가지는 패턴을 형성할 수는 있으나, 공정 단계가 복잡하고, 제조 비용이 증가할 뿐만 아니라 2차 포토레지스트 패턴 형성 시에 패턴의 중첩 정확도(overlay accuracy)에 의해 오정렬이 발생한다.
상기 ii) SPT는 셀 영역의 패턴 형성을 위하여 마스크 공정을 한번 만 진행하여 오정렬을 방지할 수 있는 셀프-얼라인(self-align) 기술로서, 이 또한 a) 포지티브 방법과 b) 네거티브 방법으로 나눌 수 있다.
a) 포지티브 방법은 도 3에 도시한 바와 같이 피식각층(31) 상부에 비정질 탄소층(33), 제1 하드마스크막(35), 제2 하드마스크막(37) 및 제1 포토레지스트 패턴(38)을 형성한 다음, 제1 포토레지스트 패턴(38)을 식각 마스크로 이용하여 제2 하드마스크막 패턴(37-1)을 형성한다. 이어서, 상기 제2 하드마스크막 패턴(37-1) 측면에 스페이서(39)를 형성한 다음, 상기 스페이서(39)를 식각 마스크로 이용하여 제1 하드마스크 패턴(35-1)을 형성한다.
b) 네거티브 방법은 도 4에 도시한 바와 같이 피식각층(41) 상부에 비정질 탄소층(43), 제1 하드마스크막(45), 제2 하드마스크막(47) 및 제1 포토레지스트 패 턴(48)을 형성하고, 제1 포토레지스트 패턴(48)을 식각 마스크로 이용하여 제2 하드마스크막 패턴(47-1)을 형성한다. 이어서, 상기 제2 하드마스크막 패턴(47-1) 측벽에 스페이서(49)를 형성한 다음, 전면에 갭 필 물질(50) 또는 반사방지막을 코팅한다. CMP 또는 에치백 방법으로 상기 제2 하드마스크 패턴(47-1)을 노출시킨 다음, 스페이서를 제거하고, 상기 제2 하드마스크 패턴(47-1) 및 갭 필 물질(50)을 식각 마스크로 이용하여 제1 하드마스크 패턴(43-1)을 형성한다.
이때, 상기 SPT는 코어(core) 및 페리(peri) 영역에 패턴을 형성하거나, 미니 셀 블럭(mini cell block) 영역의 패턴 부분을 분리하기 위하여 추가적인 마스크 공정이 필요하기 때문에 공정 단계가 복잡하다. 또한, 스페이서 형성 시에 선폭을 조절하는 것이 어려워 패턴 선폭의 균일도가 낮다. 이에 따라, 게이트 또는 금속 배선 형성 공정 등 라인 앤 스페이서 패턴 형성을 위한 공정에서는 SPT 공정이 적용 가능하지만, 디램의 소자분리막 형성 공정이나, 랜딩 플러그 콘택 형성 공정 등 브릭월 (brick wall) 패턴 공정에서는 SPT 공정 적용이 불가능하다.
본 발명에서는 피식각층에 대한 패터닝 공정 시에, 다기능 하드마스크막 기능을 수행할 수 있는 스핀 온 카본층(spin on carbon)과 실리콘 분자 함량이 높은 중합체를 포함하는 포토레지스트 조성물 및 반사방지막을 이용하여 식각 패턴을 형성한 다음, 이를 식각 마스크로 이용하여 피식각층을 패터닝함으로써, 단순한 공정 단계에 의해 미세 패턴을 형성할 수 있는 반도체 소자의 미세 패턴 형성 방법을 제공하는 것을 목적으로 한다.
상기와 같은 목적을 달성하기 위하여, 본 발명에서는
반도체 기판 상의 피식각층 상부에 스핀 온 카본층을 형성하는 단계;
상기 스핀 온 카본층 상부에 실리콘 함유 중합체를 포함하는 반사방지막을 형성하는 단계;
상기 반사방지막 상부에 제1 포토레지스트 패턴을 형성하는 단계;
상기 제1 포토레지스트 패턴을 식각 마스크로 반사방지막을 패터닝하는 단계;
상기 반사방지막 패턴 사이에 실리콘 함유 중합체를 포함하는 제2 포토레지스트 패턴을 형성하는 단계;
상기 반사방지막 패턴 및 제2 포토레지스트 패턴을 식각 마스크로 이용하여 상기 스핀 온 카본층을 패터닝하는 단계; 및
스핀 온 카본층 패턴을 식각 마스크로 상기 피식각층을 패터닝하는 단계를 포함하는 반도체 소자의 미세 패턴 형성 방법을 제공한다.
상기 방법은 제2 포토레지스트막 도포 전에 제1 포토레지스트 패턴을 제거하는 단계를 더 포함할 수 있다.
이와 같이 본 발명의 방법은 종래 다층 하드마스크막과 동일한 식각 마스크 기능을 수행할 수 있도록, 실리콘 분자량 다량 함유한 공중합체를 포함하는 포토레지스트막과 반사방지막을 이용하여 패턴을 형성한 다음, 이들 패턴을 식각 마스크로 이용하는 패터닝 공정을 수행함으로써, 종래 다층으로 적층되던 하드마스크막의 적층 공정 및 두께를 축소할 수 있어, 공정 단계 및 제조 원가를 감소시킬 수 있고, 균일한 패턴 프로파일을 얻을 수 있다.
더욱이, 본 발명의 방법에서는 종래 증착 공정이 어려운 비정질 탄소층 대신 간단한 스핀 코팅 방법으로 형성 가능한 스핀 온 카본층을 이용함으로써, 공정 과정을 단순화시켜 TAT 제조 회기 시간(Turn-around-Time)을 단축할 수 있다.
일반적으로, 비정질 탄소층을 높은 고온에서 증착하면, 633nm 영역에서 흡광 계수를 갖는다. 따라서, 식각 선택비를 얻기 위하여 3000Å 이상의 두께로 형성하는 경우 패턴 정렬(alignment)이 불가능하다. 반면, 낮은 저온에서 증착하면, 6000Å 두께 이상으로 증착하여도 식각 내성이 매우 낮다. 하지만, 스핀 온 카본층은 두께와 무관하게 633nm 영역에서 흡광 계수가 ‘0’이고, 식각 공정 시 하부층에 대한 식각 내성이 우수하다.
또한, 단차가 형성된 영역에 평탄한 막을 형성하는 경우, 비정질 탄소층(/실리콘 산화질화막)은 스텝 커버리지가 낮기 때문에, 후속 산소(O2) 애싱 공정 시에 비정질 탄소층이 부분적으로 스트립 되는 단점이 있다. 반면, 스핀 온 카본층의 경우 스텝 커버리지가 우수하기 때문에, 후속 애싱 공정 시에 스트립 현상이 발생하지 않는다.
이하, 본 발명의 반도체 소자의 미세 패턴 형성 방법을 도 5a 내지 도 5e를 들어 더욱 상세히 설명한다.
도 5a를 참조하면, 반도체 기판의 피식각층(121) 상부에 다기능 하드마스크 막인 스핀 온 카본층(122)을 증착한다.
상기 피식각층은 특별히 제한하지 않으나, 실리콘질화막(SiNx), 실리콘산화막(SiO2), 폴리층 또는 금속막 등을 이용하여 형성할 수 있고, 바람직하게는 게이트 산화막/폴리층/텅스텐층/하드마스크 질화막이 형성된 적층된 게이트 전극인 것이 바람직하다.
상기 스핀 온 카본층은 반도체 소자 제조 공정 시에 단차가 형성된 지역에 평탄화된 막을 형성하는 역할과, 반사방지막 역할 및 상, 하부 물질 간의 식각 선택비 차이에 의한 하드마스크막 역할을 모두 수행할 수 있는 물질이다. 상기 스핀 온 카본층은 화합물 전체 분자량에 대하여 85∼90중량%의 탄소 분자를 함유하며, 스핀 코팅 방법으로 도포가 용이한 카본-리치 중합체(carbon-rich polymer)를 들 수 있다. 본 발명에서는 SHN18 (일본 니싼 화학주식회사 제품)를 사용한다.
상기 스핀 온 카본층(122) 상부에 실리콘 함유 중합체를 포함하는 반사방지막용 조성물을 500∼1000Å 두께로 코팅한 다음, 200∼250℃에서 90초간 베이크하여 반사방지막(124)을 형성한다.
상기 반사방지막용 조성물은 중합체 총 중량에 대하여 30 내지 80wt%, 바람직하게 15~45중량%의 실리콘 분자를 함유하는 실리콘 함유 중합체와, 첨가제 및 유기용제를 포함한다. 상기 실리콘 함유 중합체는 특별히 제한하지 않는데, 예를 들어 Si-Si-O- 구조를 포함하는 화합물, 실록산(siloxane) 화합물, 하이드록시 페닐알킬 실세스퀴옥산(hydroxy phenyl alkyl silses-quioxane) 화합물, 알킬 실세스퀴옥산 화합물 및 페닐 실세스퀴옥산 화합물로 이루어진 군으로부터 선택된 하나 이상의 화합물을 포함한다. 본 발명에서는 NCH0987N(일본 니싼 공업화학사 제품), HM21 (일본 TOK사 제품) 및 ODL 시리즈(일본 신에츠(shinetsu)사 제품)를 사용한다.
상기 유기용매는 프로필렌글리콜 메틸에테르아세테이트(PGMEA) 또는 아세틸 아세톤 등을 사용한다.
이어서, 상기 반사방지막(124) 상부에 리소그라피 공정에 의한 제1 포토레지스트 패턴(126)을 형성한다.
상기 제1 포토레지스트 패턴은 특별히 제한하지 않으나, 통상적인 화학증폭형 포토레지스트 조성물을 이용한 리소그라피 공정에 의하여 형성할 수 있다. 예를 들어, 상기 화학증폭형 포토레지스트 조성물은 US 5,750,680 (1998. 5. 12), US 6,051,678 (2000. 4. 18), US 6,132,926 (2000. 10. 17), US 6,143,463 (2000. 11. 7), US 6,150,069 (2000. 11. 21), US 6.180.316 B1 (2001. 1. 30), US 6,225,020 B1 (2001. 5. 1), US 6,235,448 B1 (2001. 5. 22) 및 US 6,235,447 B1 (2001. 5. 22) 등에 개시된 것을 사용할 수 있는데, 바람직하게는 폴리 비닐페놀계, 폴리하이드록시스틸렌계, 폴리노르보넨계, 폴리아다만틸계, 폴리이미드계, 폴리아크릴레이트계, 폴리메타아크릴레이트계, 폴리플루오린계 중 선택된 하나 이상을 베이스 수지와 광산발생제 및 유기용매를 포함한다. 특히, 상기 베이스 수지는 치환된 말레익안하이드라이드를 중합반복단위로 포함하는 ROMA형 중합체; 사이클로올레핀 중합반복단위, 말레익안하이드라이드의 중합반복단위 및 메타크릴레이트 또는 아크릴레이트계 중합반복단위를 포함하는 COMA형 중합체; 및 상기 중합체들이 하나 이상 혼 합된 형태(hybrid type)의 중합체를 포함한다.
본 발명에서는 AIM5076 (일본 JSR(Japan Synthetic Rubber)사 제품)를 1000Å 두께로 코팅한 다음, 1700i ArF 이머젼 스캐너(네덜란드 ASML 홀딩사 제품)를 이용하는 노광 및 현상 공정으로 제2 포토레지스트 패턴(126)을 형성한다. 이때, 상기 노광 공정은 ArF 광원 외에 KrF 또는 EUV 광원을 이용하는 것도 가능하다.
도 5b를 참조하면, 상기 제1 포토레지스트 패턴(126)을 식각 마스크로 이용하여 스핀 온 카본층(122)이 노출될 때까지 반사방지막(124)을 패터닝한다.
상기 반사방지막 패터닝 공정은 FLEX 식각 챔버(미국 Lam 사 제조) 내에서 압력 160mT 및 파워 150W 조건 하에서, CF4 90sccm, CHF3 30sccm, O2 11sccm 및 Ar 600sccm 플라즈마 가스를 이용한 혼합 가스로 수행한다. 더욱이, 상기 패터닝 공정 시에 산소 플라즈마 가스를 먼저 주입하여, 하부 반사방지막 상부에 SiO2막을 형성함으로써, 제1 포토레지스트 패턴에 대한 반사방지막의 식각 선택비를 높일 수 있다.
도 5c를 참조하면, 상기 식각 마스크로 사용한 제1 포토레지스트 패턴(126)을 제거한 다음, 노출된 스핀 온 카본층(122)과 반사방지막 패턴(124-1)을 포함하는 전면에 실리콘 함유 중합체를 포함하는 제2 포토레지스트 조성물을 500∼1500Å, 바람직하게 1000Å 두께로 코팅한다. 이어서, 120∼180℃에서 90초간 베이크하여 제2 포토레지스트막(128)을 형성한다.
이때, 상기 제1 포토레지스트 제거 공정은 애싱 공정으로 수행하는 경우, 하 부 스핀 온 카본층이 손실되므로 씨너(thinner)를 이용하여 수행되는 것이 바람직하다.
또한, 상기 제2 포토레지스트 조성물은 실리콘 함유 중합체, 광산발생제 및 유기용매를 포함한다.
상기 실리콘 함유 중합체는 특별히 제한하지 않으나, 예를 들어 하기 화학식 1의 중합반복단위를 포함하는 중합체, 대한민국 특허공개번호 제10-2005-002384호, 대한민국 등록특허 제575120호 또는 대한민국 등록특허 제78087호 등에 개시된 바와 같은 공중합체를 사용할 수 있다. 본 발명에서는 SHB-A629 (일본 신에츠사 제품) 또는 SAX-100K (일본 JSR 사 제품)를 사용한다.
[화학식 1]
Figure 112007047695989-pat00001
상기 식에서,
R은 수소 또는 메틸이고; R1은 C2∼C10의 직쇄 또는 측쇄의 알킬렌이며; R2, R3 및 R4는 C1∼C3의 알콕시기이고; R5는 OH, H, C1∼C10의 알킬 또는 산에 민감한 보호기이며, a: b: c의 상대비(mol)는 0.3∼1:1∼3:1이다.
도 5d를 참조하면, 제2 포토레지스트막(128)에 대한 노광 마스크(130)를 이용한 노광 공정 및 현상 공정을 수행하여, 상기 반사방지막 패턴(124-1) 사이에 제2 포토레지스트 패턴(128-1)을 형성한다.
상기 노광 공정은 1700i ArF 이머젼 스캐너를 이용하여 수행하며, 상기 현상 공정은 2.38중량% 테트라메틸 암모늄하이드록사이드(TMAH) 수용액을 이용하여 수행한다. 상기 노광 공정은 ArF 광원 외에 KrF 또는 EUV 광원을 이용하는 것도 가능하다.
한편, 상기 실리콘 함유 중합체를 포함하는 반사방지막 패턴(124-1)은 상기 제2 포토레지스트 패턴(128-1)을 형성하기 위한 노광 공정 시에 감광되지 않기 때문에, 현상 공정 후에도 초기 패턴 형태로 잔류한다.
도 5e를 참조하면, 상기 제2 포토레지스트 패턴(128-1) 및 반사방지막 패턴(124-1)을 식각 마스크로 이용하여 상기 스핀 온 카본층(122)을 패터닝한다.
상기 패터닝 공정은 FLEX 식각 챔버(미국 Lam 사 제품) 내에서 압력 160mT 및 파워 150W 조건 하에서, O2 : N2의 혼합 플라즈마 가스를 1:1~3:2의 유량 비율로 가하면서, 또는 O2 : H2의 혼합 플라즈마 가스를 5:2의 유량 비율로 가하여 수행한다.
결론적으로, 본 발명의 스핀 온 카본층 패터닝 공정에서 식각 마스크로 사용되는 실리콘 함유 중합체를 포함하는 제2 포토레지스트 패턴(128-1) 및 반사방지막 패턴(124-1)이 종래 다층 하드마스크막과 동일한 식각 마스크 기능을 수행할 수 있 으므로, 균일한 패턴 프로파일을 얻을 수 있다.
본 발명에서는 상기 반도체 방법에 따라 제조된 반도체 소자를 제공한다.
전술한 바와 같이, 본 발명의 방법은 피식각층 상부에 다기능 하드마스크막을 형성하는 대신, 식각 선택비를 높일 수 있는 실리콘 분자를 다량 함유한 중합체를 포함하는 포토레지스트 패턴과 반사방지막 패턴을 형성하는 단계를 포함함으로써, 종래 다기능 하드마스크막 형성 단계를 생략하여 공정 단계를 단순화할 수 있다. 아울러, 상기 실리콘 분자를 다량 함유한 중합체를 포함하는 반사방지막과 포토레지스트막은 리소그라피 공정 시에 통상적인 회로 이미지 전사용 패턴으로 사용할 수 있다. 따라서, 본 발명의 방법을 이용하는 경우 반도체 소자의 제조 공정 단계를 단순화시킬 수 있어 원가 절감 효과가 있다.
이상에서 살펴본 바와 같이, 본 발명의 방법은 피식각층 상부에 다층의 하드마스크막 대신 스핀 온 카본층과 실리콘 함량 중합체를 포함하는 포토레지스트 패턴과 반사방지막 패턴을 형성하고, 이를 식각 마스크로 이용하여 하부 피식각층을 식각함으로써, 반도체 제조 공정을 단순화시킬 수 있고, 이에 따른 원가 절감을 가져올 수 있다.

Claims (16)

  1. 반도체 기판 상의 피식각층 상부에 스핀 온 카본층을 형성하는 단계;
    상기 스핀 온 카본층 상부에 실리콘 함유 중합체를 포함하는 반사방지막을 형성하는 단계;
    상기 반사방지막 상부에 제1 포토레지스트 패턴을 형성하는 단계;
    상기 제1 포토레지스트 패턴을 식각 마스크로 반사방지막을 패터닝하는 단계;
    상기 반사방지막 패턴 사이에 실리콘 함유 중합체를 포함하는 제2 포토레지스트 패턴을 형성하는 단계;
    상기 반사방지막 패턴 및 제2 포토레지스트 패턴을 식각 마스크로 이용하여 상기 스핀 온 카본층을 패터닝하는 단계; 및
    스핀 온 카본층 패턴을 식각 마스크로 상기 피식각층을 패터닝하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  2. 제1항에 있어서,
    상기 제2 포토레지스트 패턴을 형성하는 단계는
    반사방지막 패턴 및 스핀 온 카본층을 포함하는 전면에 실리콘 함유 중합체를 포함하는 제2 포토레지스트막을 형성하는 단계; 및
    상기 제2 포토레지스트막을 패터닝하여 반사방지막 패턴 사이에 제2 포토레지스트 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  3. 제1항에 있어서,
    상기 미세 패턴 형성 방법은 제2 포토레지스트막 도포 전에 제1 포토레지스트 패턴 제거 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  4. 제1항에 있어서,
    상기 피식각층은 게이트 전극층인 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  5. 제1항에 있어서,
    상기 스핀 온 카본층은 중합체 전체 분자량에 대하여 85∼90중량%의 탄소 분자를 함유한 카본-리치 중합체(carbon-rich polymer)인 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  6. 제1항에 있어서,
    상기 반사방지막 두께는 500∼1000Å인 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  7. 제1항에 있어서,
    상기 반사방지막은 실리콘 함유 중합체와, 유기용매 및 첨가제를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  8. 제7항에 있어서,
    상기 실리콘 함유 중합체는 Si-Si-O- 구조를 포함하는 화합물, 실록산 화합물, 하이드록시 페닐알킬 실세스퀴옥산 화합물, 알킬 실세스퀴옥산 화합물 및 페닐 실세스퀴옥산 화합물로 이루어진 군으로부터 선택된 하나 이상의 화합물을 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  9. 제7항에 있어서,
    상기 유기용매는 프로필렌글리콜 메틸에테르아세테이트 또는 아세틸 아세톤인 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  10. 제1항에 있어서,
    상기 제1 포토레지스트 패턴은 화학증폭형 포토레지스트 조성물로 형성된 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  11. 제1항에 있어서,
    상기 반사방지막 패터닝 공정은 CF4, CHF3, O2 및 Ar로 이루어진 군으로부터 선택된 하나 이상의 플라즈마 식각 가스로 수행되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  12. 제3항에 있어서,
    상기 제1 포토레지스트 패턴 제거 공정은 씨너(thinner)로 수행되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  13. 제2항에 있어서,
    상기 제2 포토레지스트막은 실리콘 함유 중합체와, 유기용매 및 광산발생제를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  14. 제13항에 있어서,
    상기 실리콘 함유 중합체는 하기 화학식 1의 중합반복단위를 포함하는 중합체인 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법:
    [화학식 1]
    Figure 112008050824001-pat00002
    상기 식에서,
    R은 수소 또는 메틸이고; R1은 C2∼C10의 직쇄 또는 측쇄의 알킬렌이며; R2, R3 및 R4는 C1∼C3의 알콕시기이고; R5는 OH, H, C1∼C10의 알킬 및 산에 민감한 보호기로 이루어진 군으로부터 선택된 어느 하나이며, a: b: c의 상대비(mol)는 0.3∼1:1∼3:1이다.
  15. 제1항에 있어서,
    상기 스핀 온 카본층 패터닝 공정은
    O2 : N2가 1∼3: 1∼2의 유량 비율로 혼합된 플라즈마 식각 가스, 또는
    O2 : H2가 5:2의 유량 비율로 혼합된 플라즈마 식각 가스로 수행되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  16. 제1항에 있어서,
    상기 미세 패턴 형성 방법은 ArF, KrF 또는 EUV 리소그라피 공정에서 수행되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
KR1020070065126A 2007-06-29 2007-06-29 반도체 소자의 미세 패턴 형성 방법 KR100876816B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020070065126A KR100876816B1 (ko) 2007-06-29 2007-06-29 반도체 소자의 미세 패턴 형성 방법
US11/964,693 US7989145B2 (en) 2007-06-29 2007-12-26 Method for forming fine pattern of semiconductor device
TW097100154A TWI364781B (en) 2007-06-29 2008-01-03 Method for forming fine pattern of semiconductor device
CN2008100004312A CN101335198B (zh) 2007-06-29 2008-01-10 形成半导体器件的精细图案的方法
JP2008046314A JP2009016788A (ja) 2007-06-29 2008-02-27 半導体素子の微細パターン形成方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070065126A KR100876816B1 (ko) 2007-06-29 2007-06-29 반도체 소자의 미세 패턴 형성 방법

Publications (1)

Publication Number Publication Date
KR100876816B1 true KR100876816B1 (ko) 2009-01-07

Family

ID=40160990

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070065126A KR100876816B1 (ko) 2007-06-29 2007-06-29 반도체 소자의 미세 패턴 형성 방법

Country Status (5)

Country Link
US (1) US7989145B2 (ko)
JP (1) JP2009016788A (ko)
KR (1) KR100876816B1 (ko)
CN (1) CN101335198B (ko)
TW (1) TWI364781B (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101740328B (zh) * 2008-11-13 2012-03-07 中芯国际集成电路制造(上海)有限公司 刻蚀方法
KR101145336B1 (ko) * 2010-05-31 2012-05-14 에스케이하이닉스 주식회사 반도체 장치의 콘택홀 형성방법
CN102466969A (zh) * 2010-11-19 2012-05-23 中芯国际集成电路制造(上海)有限公司 双重图形化方法
US8835101B1 (en) 2011-06-07 2014-09-16 Iii Holdings 1, Llc Method for fabricating a circuit
CN102323716A (zh) * 2011-07-07 2012-01-18 西北工业大学 一种纳米结构的图形转移制作方法
JP5935297B2 (ja) * 2011-11-09 2016-06-15 Jnc株式会社 ポジ型感光性組成物
JP2014135417A (ja) * 2013-01-11 2014-07-24 Canon Inc パターンの形成方法、それを用いた物品の製造方法
CN103474336B (zh) * 2013-09-22 2016-03-02 上海华力微电子有限公司 制作高均匀度栅极线条的方法
CN103474339B (zh) * 2013-09-22 2016-01-06 上海华力微电子有限公司 制作高均匀度栅极线条的方法
CN103489767B (zh) * 2013-09-22 2017-03-08 上海华力微电子有限公司 能简化极小线宽栅极线条的制作工艺的栅极线条制作方法
CN103489769B (zh) * 2013-09-22 2016-09-07 上海华力微电子有限公司 制作高均匀度栅极线条的方法
CN103606533A (zh) * 2013-11-13 2014-02-26 上海华力微电子有限公司 一种通孔优先铜互连制作方法
WO2015106261A1 (en) * 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
KR102295525B1 (ko) 2015-01-16 2021-08-30 삼성전자 주식회사 스핀 코팅용 하드 마스크 조성물
JP6879202B2 (ja) 2015-03-10 2021-06-02 昭和電工マテリアルズ株式会社 研磨剤、研磨剤用貯蔵液及び研磨方法
US20160351799A1 (en) * 2015-05-30 2016-12-01 Applied Materials, Inc. Hard mask for patterning magnetic tunnel junctions
WO2016203586A1 (ja) 2015-06-17 2016-12-22 日立化成株式会社 研磨剤、研磨剤用貯蔵液及び研磨方法
CN106448555B (zh) * 2016-12-16 2019-11-12 上海天马有机发光显示技术有限公司 有机发光显示面板及其驱动方法、有机发光显示装置
KR102067082B1 (ko) * 2017-01-19 2020-01-16 삼성에스디아이 주식회사 패턴 형성 방법 및 반도체 소자
US11320738B2 (en) 2018-06-27 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation method and material for manufacturing semiconductor devices

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050027133A (ko) * 2002-07-30 2005-03-17 소니 가부시끼 가이샤 반도체장치의 제조방법
KR100618907B1 (ko) 2005-07-30 2006-09-01 삼성전자주식회사 다중 반사 방지층을 포함한 반도체 구조물 및 그 구조물을이용한 pr 패턴 형성 방법 및 반도체 소자의 패턴 형성방법
KR20070070035A (ko) * 2005-12-28 2007-07-03 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20070093177A (ko) * 2006-03-13 2007-09-18 삼성전자주식회사 하드 마스크 및 실리콘을 포함하는 포토레지스트 제거방법, 이를 이용한 패턴 형성 방법

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2803999B2 (ja) * 1993-11-10 1998-09-24 現代電子産業株式会社 半導体装置の微細パターン製造法
KR0178475B1 (ko) 1995-09-14 1999-03-20 윤덕용 신규한 n-비닐락탐 유도체 및 그의 중합체
KR100211548B1 (ko) 1996-12-20 1999-08-02 김영환 원자외선용 감광막 공중합체 및 그 제조방법
KR100197673B1 (en) 1996-12-20 1999-06-15 Hyundai Electronics Ind Copolymers containing n-vinyllactam derivatives, preparation methods thereof and photoresists therefrom
KR100265597B1 (ko) 1996-12-30 2000-09-15 김영환 Arf 감광막 수지 및 그 제조방법
US6180316B1 (en) 1998-01-16 2001-01-30 Jsr Corporation Radiation sensitive resin composition
KR100376984B1 (ko) 1998-04-30 2003-07-16 주식회사 하이닉스반도체 포토레지스트중합체및이를이용한미세패턴의형성방법
KR100419028B1 (ko) 1998-05-13 2004-07-19 주식회사 하이닉스반도체 옥사비시클로화합물,이화합물이도입된포토레지스트중합체및이를이용한포토레지스트미세패턴의형성방법
US6235447B1 (en) 1998-10-17 2001-05-22 Hyundai Electronics Industries Co., Ltd. Photoresist monomers, polymers thereof, and photoresist compositions containing the same
KR100400291B1 (ko) 1998-11-27 2004-02-05 주식회사 하이닉스반도체 신규의포토레지스트용단량체,그의공중합체및이를이용한포토레지스트조성물
JP4270708B2 (ja) 1999-04-23 2009-06-03 富士通株式会社 ケイ素含有ポリマ、その製造方法、それを用いたレジスト組成物、パターン形成方法および電子デバイスの製造方法
KR100520186B1 (ko) * 2000-06-21 2005-10-10 주식회사 하이닉스반도체 부분적으로 가교화된 2층 포토레지스트용 중합체
US6720256B1 (en) 2002-12-04 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of dual damascene patterning
KR20050002384A (ko) 2003-06-30 2005-01-07 주식회사 하이닉스반도체 실리콘계 화합물을 포함하는 2층 포토레지스트용 중합체및 이를 이용한 포토레지스트 패턴의 형성 방법
US7270931B2 (en) * 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
DE10349764B4 (de) 2003-10-24 2006-08-24 Infineon Technologies Ag Hartmaske zur Strukturierung einer Schicht und Verfahren zur Generierung einer Hartmaske für die Strukturierung einer Schicht
KR100571721B1 (ko) * 2004-02-10 2006-04-17 삼성전자주식회사 신너 조성물 및 이를 이용한 포토레지스트의 제거 방법
KR100598105B1 (ko) * 2004-06-17 2006-07-07 삼성전자주식회사 반도체 패턴 형성 방법
JP4734111B2 (ja) * 2005-12-15 2011-07-27 ルネサスエレクトロニクス株式会社 多層レジスト膜のパターニング方法および半導体装置の製造方法
US7807336B2 (en) * 2005-12-28 2010-10-05 Hynix Semiconductor Inc. Method for manufacturing semiconductor device
KR100811431B1 (ko) * 2005-12-28 2008-03-07 주식회사 하이닉스반도체 반도체 소자의 제조 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050027133A (ko) * 2002-07-30 2005-03-17 소니 가부시끼 가이샤 반도체장치의 제조방법
KR100618907B1 (ko) 2005-07-30 2006-09-01 삼성전자주식회사 다중 반사 방지층을 포함한 반도체 구조물 및 그 구조물을이용한 pr 패턴 형성 방법 및 반도체 소자의 패턴 형성방법
KR20070070035A (ko) * 2005-12-28 2007-07-03 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20070093177A (ko) * 2006-03-13 2007-09-18 삼성전자주식회사 하드 마스크 및 실리콘을 포함하는 포토레지스트 제거방법, 이를 이용한 패턴 형성 방법

Also Published As

Publication number Publication date
JP2009016788A (ja) 2009-01-22
US20090004604A1 (en) 2009-01-01
TWI364781B (en) 2012-05-21
US7989145B2 (en) 2011-08-02
CN101335198A (zh) 2008-12-31
CN101335198B (zh) 2011-05-04
TW200901273A (en) 2009-01-01

Similar Documents

Publication Publication Date Title
KR100876816B1 (ko) 반도체 소자의 미세 패턴 형성 방법
KR100871967B1 (ko) 반도체 소자의 미세 패턴 형성 방법
KR100896451B1 (ko) 카본 함량이 개선된 고 내에칭성 반사방지 하드마스크조성물, 이를 이용한 패턴화된 재료 형상의 제조방법
US7790357B2 (en) Method of forming fine pattern of semiconductor device
US7109119B2 (en) Scum solution for chemically amplified resist patterning in cu/low k dual damascene
US7615497B2 (en) Forming fine pattern of semiconductor device using three mask layers and CMP of spin-on carbon layer
KR20080024053A (ko) 반도체 소자의 미세패턴 형성방법
JP4481902B2 (ja) 多層レジスト法によるパターン形成方法
JP2007017976A (ja) 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法
EP1678556A2 (en) Low-activation energy silicon-containing resist system
US8084185B2 (en) Substrate planarization with imprint materials and processes
US20050064322A1 (en) Water and aqueous base soluble antireflective coating/hardmask materials
KR100861172B1 (ko) 반도체 소자의 미세패턴 형성방법
KR100919564B1 (ko) 반도체 소자의 미세 패턴 형성 방법
KR101288573B1 (ko) 칼릭스 알렌이 함유된 고 내에칭성 반사방지 하드마스크 조성물, 이를 이용한 패턴화된 재료 형상의 제조방법
US8158528B2 (en) Method for forming pattern of semiconductor device
KR20090102218A (ko) 반도체 소자의 패턴 형성 방법
CN101593725A (zh) 接触孔的形成方法
KR20070071679A (ko) 하드마스크용 조성물 및 이를 이용한 반도체 소자의 제조방법
Liu et al. Double patterning with multilayer hard mask shrinkage for sub-0.25 k1 lithography
KR100551075B1 (ko) 침수 리소그래피 공정을 이용한 반도체 소자의 미세 패턴형성방법
US20230393478A1 (en) Underlayer composition and method of manufacturing a semiconductor device
WO2023076222A1 (en) Local shadow masking for multi-color exposures
KR20090102070A (ko) 반도체 소자의 미세 패턴 형성 방법
KR20180031290A (ko) 반도체 장치 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20111121

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20121121

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee