KR100919564B1 - 반도체 소자의 미세 패턴 형성 방법 - Google Patents

반도체 소자의 미세 패턴 형성 방법

Info

Publication number
KR100919564B1
KR100919564B1 KR1020070065078A KR20070065078A KR100919564B1 KR 100919564 B1 KR100919564 B1 KR 100919564B1 KR 1020070065078 A KR1020070065078 A KR 1020070065078A KR 20070065078 A KR20070065078 A KR 20070065078A KR 100919564 B1 KR100919564 B1 KR 100919564B1
Authority
KR
South Korea
Prior art keywords
pattern
forming
photoresist
semiconductor device
reflection film
Prior art date
Application number
KR1020070065078A
Other languages
English (en)
Other versions
KR20090001023A (ko
Inventor
복철규
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020070065078A priority Critical patent/KR100919564B1/ko
Priority to US11/962,405 priority patent/US7972766B2/en
Publication of KR20090001023A publication Critical patent/KR20090001023A/ko
Application granted granted Critical
Publication of KR100919564B1 publication Critical patent/KR100919564B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

본 발명은 반도체 소자의 미세 패턴 형성 방법에 관한 것으로, 보다 구체적으로 다기능 하드마스크막 기능을 수행할 수 있는 실리콘 함유 중합체를 포함하는 반사방지막용 조성물을 이용하여 제1 식각 마스크 패턴을 형성하고, 상기 제1 식각 마스크 패턴들 사이에 다기능 하드마스크막 기능을 수행할 수 있는 실리콘 함유 중합체를 포함하는 포토레지스트막을 이용하여 2차 식각 마스크 패턴을 형성한 다음, 이를 식각 패턴을 후속 피식각층 식각 공정 시에 시각 마스크로 이용함으로써, 공정 단계 및 제조 비용을 감소할 수 있을 뿐만 아니라, 식각 공정 후 균일한 패턴 프로파일을 얻을 수 있는 이중 패터닝 방법을 이용한 반도체 소자의 미세 패턴 형성 방법을 제공한다.

Description

반도체 소자의 미세 패턴 형성 방법{Method for Forming Fine Pattern of Semiconductor Device}
본 발명은 이중 패터닝 방법을 포함하는 반도체 소자의 미세 패턴 형성 방법에 관한 것이다.
오늘날 컴퓨터와 같은 정보 매체의 급속한 보급에 따라 반도체 장치도 비약적으로 발전하고 있다. 기능 면에서 반도체 장치는 고속으로 동작하는 동시에 대용량의 저장 능력을 가져야 한다. 이에 따라 제조 원가는 낮으면서, 집적도, 신뢰도 및 데이터를 액세스(access)하는 전기적 특성이 향상된 반도체 소자를 제조하기 위한 공정 설비나 기술 개발이 절실히 요구된다.
소자 집적도를 향상시키기 위한 필수 공정인 리소그라피 공정은 0.07㎛ 이하의 선폭(critical dimension; CD)을 가지는 패턴을 형성하기 위하여 ArF(193nm), VUV(157nm) 또는 EUV(13nm)와 같은 단파장 노광원과 상기 노광원에 적합한 포토레지스트 물질을 적용한 공정이다.
한편, 반도체 소자 크기가 점점 미세화 되어감에 따라, 리소그라피 공정 시에 포토레지스트 패턴이 쓰러지는 것을 방지하기 위하여 포토레지스트막의 도포 두께는 감소 된 반면, 패터닝 되는 하부층에 대한 식각 선택비를 확보하기 위하여 피식각층과 포토레지스트막 사이에 하부층에 대해 상대적으로 큰 식각 선택비를 가지는 다층 하드마스크막이 도입되었다.
도 1a 내지 도 1g은 종래 반도체 소자의 패턴을 형성하는 방법을 설명하기 위한 공정 단면도이다.
도 1a를 참조하면, 반도체 기판의 피식각층(11) 상부에 1,000∼2,000Å의 비정질 탄소층(12), 200∼400Å의 실리콘 산화질화막(13) 및 200∼400Å의 폴리실리콘층(14)으로 이루어진 총 1,400∼2,800Å 두께의 다층 하드마스크막을 순차적으로 적층한다.
폴리실리콘층(14) 상부에는 포토레지스트막 하부의 정재파를 제거하기 위하여, 제1 하부 반사방지막(bottom anti-reflective coating layer)(15)을 형성하고, 그 상부에 리소그라피 공정에 의한 제1 포지티브 포토레지스트 패턴(16)을 형성한다.
도 1b를 참조하면, 상기 제1 포토레지스트 패턴(16)을 식각 마스크로 이용하여 상기 실리콘 산화질화막(13)이 노출될 때까지 제1 하부 반사방지막층(15)과 폴리실리콘층(14)을 패터닝한다.
도 1c를 참조하면, 제1 하부 반사방지막 패턴(15-1)과 제1 포토레지스트 패턴(16)을 제거한다. 이어서, 상기 폴리실리콘층 패턴(14-1)과 노출된 실리콘 산화질화막(13) 전면에 제2 하부 반사방지막(18)과 제2 포토레지스트막(19)을 한번 더 형성한 다음, 노광 마스크(20)를 이용한 노광 공정을 수행한다.
도 1d를 참조하면, 상기 결과물을 현상하여 제2 포토레지스트 패턴(19-1)을 형성한다.
도 1e 및 1f를 참조하면, 상기 제2 포토레지스트 패턴(19-1)과 폴리실리콘층 패턴(14-1)을 식각 마스크로 이용하여 제2 하부 반사방지막(18)과 실리콘 산화질화막(13)을 패터닝하여, 폴리실리콘층 패턴(14-1)과 실리콘 산화질화막 패턴(13-1)의 적층 구조물 사이에 실리콘 산화질화막 패턴(13-1)과 제2 하부 반사방지막 패턴(18-1) 및 제2 포토레지스트 패턴(19-1)으로 이루어진 적층 구조물을 형성한다.
도 1g를 참조하면, 상기 적층 구조물들을 식각 마스크로 이용하여 피식각층(11)이 노출될 때까지 비정질 탄소층(12)을 패터닝한다.
하지만, 이와 같은 종래 방법은 포토레지스트 패턴과 하부 반사방지막을 두 차례나 형성해야 할 뿐만 아니라, 이중 패터닝 공정 시에 하부층에 대한 식각 선택비를 얻기 위하여 하드마스크막을 2층 이상 증착해야 하기 때문에, 공정 단계가 복잡하고, 공정 비용이 증가한다는 단점이 있다.
더욱이, 상기 도 1f 및 도 1g에서 알 수 있는 바와 같이 비정질 탄소층 패터닝 시에 식각 마스크로 실리콘 산화질화막 패턴(13-1) 및 폴리실리콘 패턴(17-1)으로 이루어진 2층 적층 구조물과, 제2 포토레지스트 패턴(19-1), 제2 하부 반사방지막 패턴(18-1) 및 실리콘 산화질화막 패턴(13-1)으로 이루어진 구성이 상이한 두 종류의 식각 마스크를 이용하기 때문에, 식각 공정 후 균일한 패턴 프로파일을 얻기 어렵다. 이러한 단점에 의해 종래 방법은 반도체 소자 양산 공정 시에 생산율(throughput)이 매우 낮다.
본 발명에서는 피식각층에 대한 패터닝 공정 시에, 피식각층 상부에 다기능 하드마스크막 기능을 수행할 수 있는, 실리콘 분자 함량이 높은 중합체를 포함하는 포토레지스트 조성물 및 반사방지막을 이용하여 식각 패턴을 형성한 다음, 이를 식각 마스크로 이용하여 후속 피식각층을 패터닝함으로써, 공정 단계를 단순화시킬 수 있는 이중 패터닝 방법을 이용하는 반도체 소자의 미세 패턴 형성 방법을 제공하는 것을 목적으로 한다.
상기와 같은 목적을 달성하기 위하여, 본 발명에서는
피식각층 상부에 비정질 탄소층을 형성하는 단계;
상기 비정질 탄소층 상부에 실리콘 함유 중합체를 포함하는 반사방지막을 형성하는 단계;
상기 반사방지막 상부에 제1 포토레지스트 패턴을 형성하는 단계;
상기 제1 포토레지스트 패턴을 식각 마스크로 상기 비정질 탄소층이 노출될 때까지 반사방지막을 패터닝하는 단계;
상기 반사방지막 패턴 및 노출된 절연막 상부에 실리콘 함유 중합체를 포함하는 제2 포토레지스트막을 도포하는 단계;
상기 제2 포토레지스트막을 패터닝하여 상기 반사방지막 패턴 사이에 제2 포토레지스트 패턴을 형성하는 단계; 및
상기 반사방지막 패턴 및 제2 포토레지스트 패턴을 식각 마스크로 이용하여 상기 절연막을 패터닝하는 단계를 포함하는 반도체 소자의 미세 패턴 형성 방법을 제공한다.
상기 방법은 제2 포토레지스트막 도포 전에 제1 포토레지스트 패턴을 제거하는 단계를 더 포함할 수 있다.
이와 같이 본 발명의 방법은 종래 다층 하드마스크막과 동일한 식각 마스크 기능을 수행할 수 있도록, 실리콘 분자량 다량 함유한 공중합체를 포함하는 포토레지스트막과 반사방지막을 이용하여 패턴을 형성한 다음, 이들 패턴을 식각 마스크로 이용하는 패터닝 공정을 수행함으로써, 종래 다층으로 적층되던 하드마스크막의 적층 공정 및 두께를 축소할 수 있어, 공정 단계 및 제조 원가를 감소시킬 수 있고, 균일한 패턴 프로파일을 얻을 수 있다.
이하, 본 발명의 반도체 소자의 미세 패턴 형성 방법을 도 2a 내지 도 2e를 들어 더욱 상세히 설명한다.
도 2a를 참조하면, 반도체 기판의 피식각층(21) 상부에 비정질 탄소층(22)을 1000∼2000Å, 바람직하게 1500Å 두께로 증착한다.
상기 피식각층은 특별히 제한하지 않으나, 실리콘질화막(SiNx), 실리콘산화막(SiO2), 폴리층 또는 금속막 등을 이용하여 형성할 수 있고, 바람직하게는 게이트 산화막/폴리층/텅스텐층/하드마스크 질화막이 형성된 적층된 게이트 전극인 것이 바람직하다.
상기 비정질 탄소층(22) 상부에 실리콘 함유 중합체를 포함하는 반사방지막용 조성물을 500∼1000Å 두께로 코팅한 다음, 200∼250℃에서 90초간 베이크하여 반사방지막(24)을 형성한다.
상기 반사방지막용 조성물은 중합체 총 중량에 대하여 30 내지 80wt%, 바람직하게 15~45중량%의 실리콘 분자를 함유하는 실리콘 함유 중합체와, 첨가제 및 유기용제를 포함한다. 상기 실리콘 함유 중합체는 특별히 제한하지 않는데, 예를 들어 -Si-O- 구조를 포함하는 화합물로서, 실록산(siloxane) 화합물, 하이드록시 페닐알킬, 알킬 또는 페닐 치환기를 가지는 실세스퀴옥산(silses-quioxane) 화합물로 이루어진 레더형 실리콘 공중합체를 사용할 수 있다. 본 발명에서는 NCH0987N(일본 니싼 공업화학사 제품), HM21 (일본 TOK사 제품) 및 ODL 시리즈(일본 신에츠(shinetsu)사 제품)를 사용한다.
상기 유기용매는 프로필렌글리콜 메틸에테르아세테이트(PGMEA) 또는 아세틸 아세톤 등을 사용한다.
이어서, 상기 반사방지막(24) 상부에 리소그라피 공정에 의한 제1 포토레지스트 패턴(26)을 형성한다.
상기 제1 포토레지스트 패턴은 특별히 제한하지 않으나, 통상적인 화학증폭형 포토레지스트 조성물을 이용한 리소그라피 공정에 의하여 형성할 수 있다. 예를 들어, 상기 화학증폭형 포토레지스트 조성물은 US 5,750,680 (1998. 5. 12), US 6,051,678 (2000. 4. 18), US 6,132,926 (2000. 10. 17), US 6,143,463 (2000. 11. 7), US 6,150,069 (2000. 11. 21), US 6.180.316 B1 (2001. 1. 30), US 6,225,020 B1 (2001. 5. 1), US 6,235,448 B1 (2001. 5. 22) 및 US 6,235,447 B1 (2001. 5. 22) 등에 개시된 것을 사용할 수 있는데, 바람직하게는 폴리 비닐페놀계, 폴리하이드록시스틸렌계, 폴리노르보넨계, 폴리아다만틸계, 폴리이미드계, 폴리아크릴레이트계, 폴리메타아크릴레이트계, 폴리플루오린계 중 선택된 하나 이상을 베이스 수지와 광산발생제 및 유기용매를 포함한다. 특히, 상기 베이스 수지는 치환된 말레익안하이드라이드를 중합반복단위로 포함하는 ROMA형 중합체; 사이클로올레핀 중합반복단위, 말레익안하이드라이드의 중합반복단위 및 메타크릴레이트 또는 아크릴레이트계 중합반복단위를 포함하는 COMA형 중합체; 및 상기 중합체들이 하나 이상 혼합된 형태(hybrid type)의 중합체를 포함한다.
본 발명에서는 AIM5076 (일본 JSR(Japan Synthetic Rubber)사 제품)를 1000Å 두께로 코팅한 다음, 1700i ArF 이머젼 스케너 (네덜란드 ASML 홀딩사 제품)을 이용하는 노광 공정 및 현상 공정을 수행하여 제1 포토레지스트 패턴(26)을 형성한다. 이때, 상기 노광 공정은 ArF 광원 외에 KrF 또는 EUV 광원을 이용하는 것도 가능하다.
도 2b를 참조하면, 상기 제1 포토레지스트 패턴(26)을 식각 마스크로 이용하여 비정질 탄소층(22)이 노출될 때까지 반사방지막(24)을 패터닝한다.
상기 패터닝 공정은 FLEX 식각 챔버(미국 Lam 사 제조) 내에서 압력 160mT 및 파워 150W 조건 하에서, CF4 90sccm, CHF3 30sccm, O2 11sccm 및 Ar 600sccm 플라즈마 가스를 이용한 혼합 가스로 수행한다. 더욱이, 상기 패터닝 공정 시에 산소 플라즈마 가스를 먼저 주입하여, 하부 반사방지막 상부에 SiO2막을 형성함으로써, 제1 포토레지스트 패턴에 대한 반사방지막의 식각 선택비를 높일 수 있다.
도 2c를 참조하면, 상기 식각 마스크로 사용한 제1 포토레지스트 패턴(26)을 세정 공정으로 제거한 다음, 노출된 비정질 탄소층(22)과 반사방지막 패턴(24-1)을 포함하는 전면에 제2 포토레지스트 조성물을 500∼1500Å, 바람직하게 1000Å 두께로 코팅한 다음, 120∼180℃에서 90초간 베이크하여 제2 포토레지스트막(28)을 형성한다.
이때, 상기 제1 포토레지스트 제거 공정은 애싱 공정으로 수행하는 경우, 하부 비정질 탄소층이 손실되므로 씨너(thinner)를 이용하여 수행되는 것이 바람직하다.
또한, 상기 제2 포토레지스트 조성물은 실리콘 함유 중합체, 광산발생제 및 유기용매를 포함한다.
상기 실리콘 함유 중합체는 특별히 제한하지 않으나, 예를 들어 하기 화학식 1의 중합반복단위를 포함하는 중합체, 대한민국 특허공개번호 제10-2005-002384호, 대한민국 등록특허 제575120호 또는 대한민국 등록특허 제78087호 등에 개시된 바와 같은 공중합체를 사용할 수 있다. 본 발명에서는 SHB-A629 (일본 신에츠사 제품) 또는 SAX-100K (일본 JSR 사 제품)를 사용한다.
[화학식 1]
상기 식에서,
R은 수소 또는 메틸이고; R1은 C2∼C10의 직쇄 또는 측쇄의 알킬렌이며; R2, R3 및 R4는 C1∼C3의 알콕시기이고; R5는 OH, H 또는 C1∼C10의 알킬 또는 산에 민감한 보호기이며, a: b: c의 상대비(mol)는 0.3∼1:1∼3:1이다.
도 2d를 참조하면, 제2 포토레지스트막(28)에 대한 노광 마스크(30)를 이용한 노광 공정 및 현상 공정을 수행하여, 상기 반사방지막 패턴(24-1) 사이에 제2 포토레지스트 패턴(28-1)을 형성한다.
상기 노광 공정은 1700i ArF 이머젼 스캐너 (네덜란드 ASML 홀딩사 제품)를 이용하여 수행하며, 상기 현상 공정은 2.38중량% 테트라메틸 암모늄 하이드록사이드(TMAH) 수용액을 이용하여 현상한다. 상기 노광 공정은 ArF 광원 외에 KrF 또는 EUV 광원을 이용하는 것도 가능하다.
한편, 상기 실리콘 함유 중합체를 포함하는 반사방지막 패턴(24-1)은 상기 제2 포토레지스트 패턴(28-1)을 형성하기 위한 노광 공정 시에 감광되지 않기 때문에, 현상 공정 후에도 초기 패턴 형태로 잔류한다.
도 2e를 참조하면, 상기 제2 포토레지스트 패턴(28-1) 및 반사방지막 패턴(24-1)을 식각 마스크로 이용하여 비정질 탄소층(22)을 패터닝한다.
상기 패터닝 공정은 FLEX 식각 챔버(미국 Lam 사 제품) 내에서 압력 160mT 및 파워 150W 조건 하에서, O2 : N2의 혼합 플라즈마 가스를 1:1~3:2의 유량 비율로 가하면서, 또는 O2 : H2의 혼합 플라즈마 가스를 5:2의 유량 비율로 가하여 수행한다.
결론적으로, 상기 패터닝 공정 시에 식각 마스크로 사용되는 실리콘 함유 중합체를 포함하는 제2 포토레지스트 패턴(28-1) 및 반사방지막 패턴(24-1)이 종래 하드마스크막과 동일한 식각 마스크 기능을 수행할 수 있으므로, 균일한 패턴 프로파일을 얻을 수 있다.
또한, 본 발명에서는 상기 반도체 소자의 미세 패턴 형성 방법에 따라 제조된 반도체 소자를 제공한다.
전술한 바와 같이, 본 발명의 방법은 피식각층 상부에 다기능 하드마스크막을 형성하는 대신, 식각 선택비를 높일 수 있는 실리콘 분자를 다량 함유한 중합체를 포함하는 포토레지스트 패턴과 반사방지막 패턴을 형성하는 단계를 포함함으로써, 종래 다기능 하드마스크막 형성 단계를 생략하여 공정 단계를 단순화할 수 있다. 아울러, 상기 실리콘 분자를 다량 함유한 중합체를 포함하는 반사방지막과 포토레지스트막은 리소그라피 공정 시에 통상적인 회로 이미지 전사용 패턴으로도 사용할 수 있다. 따라서, 본 발명의 방법을 이용하는 경우 반도체 소자의 제조 공정 단계를 단순화시킬 수 있어 원가 절감 효과가 있다.
이상에서 살펴본 바와 같이, 본 발명의 방법은 피식각층 상부에 다기능 하드마스크막 대신 실리콘 함량이 높은 포토레지스트와 반사방지막을 형성한 후 이를 식각 마스크로 이용하여 하부 층을 식각하는 단계를 포함함으로써, 반도체 제조 공정을 단순화시킬 수 있고, 이에 따른 원가 절감을 가져올 수 있다.
도 1a 내지 도 1g은 종래 이중 패터닝 방법을 이용한 반도체 소자의 미세 패턴 형성 방법을 도시한 공정 단면도이다.
도 2a 내지 도 2e는 본 발명의 이중 패터닝 방법을 이용한 반도체 소자의 미세 패턴 형성 방법을 도시한 공정 단면도이다.
<도면의 주요 부분에 대한 간단한 설명>
11, 21: 피식각층 12, 22: 비정질 탄소층
12-1, 22-1: 비정질 탄소층 패턴 13: 실리콘 산화질화막
13-1: 실리콘 산화질화막 패턴 14: 폴리실리콘층
14-1: 폴리실리콘층 패턴 15: 제1 반사방지막
15-1: 제1 반사방지막 패턴 16: 제1 포토레지스트 패턴
18: 제2 반사방지막 18-1: 제2 반사방지막 패턴
19: 제2 포토레지스트막 19-1: 제2 포토레지스트 패턴
20, 30: 노광 마스크
24: 실리콘 함유 중합체를 포함하는 반사방지막
24-1: 실리콘 함유 중합체를 포함하는 반사방지막 패턴
26: 제1 포토레지스트 패턴
28: 실리콘 함유 중합체를 포함하는 제2 포토레지스트막
28-1: 실리콘 함유 중합체를 포함하는 제2 포토레지스트막 패턴

Claims (16)

  1. 반도체 기판의 피식각층 상부에 비정질 탄소층을 형성하는 단계;
    상기 비정질 탄소층 상부에 실리콘 함유 중합체를 포함하는 반사방지막을 형성하는 단계;
    상기 반사방지막 상부에 제1 포토레지스트 패턴을 형성하는 단계;
    상기 제1 포토레지스트 패턴을 식각 마스크로 이용하여 상기 반사방지막을 식각하여 반사방지막 패턴을 형성하는 단계;
    상기 반사방지막 패턴 사이에 실리콘 함유 중합체를 포함하는 제2 포토레지스트막을 패턴을 형성하는 단계;
    상기 반사방지막 패턴 및 상기 제2 포토레지스트 패턴을 식각 마스크로 이용하여 상기 비정질 탄소층을 식각하여 비정질 탄소층 패턴을 형성하는 단계; 및
    상기 비정질 탄소층 패턴을 식각 마스크로 이용하여 피식각층을 패터닝하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  2. 제1항에 있어서,
    상기 제2 포토레지스트 패턴을 형성 단계는
    상기 반사방지막 패턴 및 비정질 탄소층을 포함하는 전면에 실리콘 함유 중합체를 포함하는 제2 포토레지스트막을 형성하는 단계; 및
    상기 제2 포토레지스트막을 패터닝하여 반사방지막 패턴 사이에 제2 포토레지스트 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  3. 제1항에 있어서,
    상기 방법은 제2 포토레지스트막 도포 전에 제1 포토레지스트 패턴 제거 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  4. 제1항에 있어서,
    상기 피식각층은 게이트 전극층인 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  5. 제1항에 있어서,
    상기 반사방지막 두께는 500∼1000Å인 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  6. 제1항에 있어서,
    상기 반사방지막은 실리콘 함유 중합체와, 유기용매 및 첨가제를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  7. 제6항에 있어서,
    상기 실리콘 함유 중합체는 실록산 화합물, 하이드록시 페닐알킬 실세스퀴옥산 화합물, 페닐 실세스퀴옥산 및 이들의 조합으로 이루어진 군으로부터 선택된 레더형 실리콘 공중합체인 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  8. 제6항에 있어서,
    상기 유기용매는 프로필렌글리콜 메틸에테르아세테이트 또는 아세틸 아세톤인 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  9. 제1항에 있어서,
    상기 제1 포토레지스트 패턴은 화학증폭형 포토레지스트 조성물로 형성된 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  10. 제1항에 있어서,
    상기 반사방지막 패터닝 공정은 CF4, CHF3, O2 및 Ar의 혼합 가스로 수행되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  11. 제3항에 있어서,
    상기 제1 포토레지스트 패턴 제거 공정은 씨너(thinner)로 수행되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  12. 제1항에 있어서,
    상기 제2 포토레지스트막 두께는 500∼1500Å인 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  13. 제1항에 있어서,
    상기 제2 포토레지스트막은 실리콘 함유 중합체와, 유기용매 및 광산발생제를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  14. 제13항에 있어서,
    상기 살리콘 함유 중합체는 하기 화학식 1의 중합반복단위를 포함하는 중합체인 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법:
    [화학식 1]
    상기 식에서,
    R은 수소 또는 메틸이고; R1은 C2∼C10의 직쇄 또는 측쇄의 알킬렌이며; R2, R3 및 R4는 C1∼C3의 알콕시기이고; R5는 OH, H 또는 C1∼C10의 알킬 또는 산에 민감한 보호기이며, a: b: c의 상대비(mol)는 0.3∼1:1∼3:1이다.
  15. 제1항에 있어서,
    상기 비정질 탄소층 패터닝 공정은
    O2 : N2가 1∼3:1~2의 유량 비율로 혼합된 식각 가스, 또는
    O2 : H2가 5:2의 유량 비율로 혼합된 식각 가스로 수행되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  16. 제1항에 있어서,
    상기 방법은 ArF, KrF 또는 EUV 리소그라피 공정에서 수행되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
KR1020070065078A 2007-06-29 2007-06-29 반도체 소자의 미세 패턴 형성 방법 KR100919564B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020070065078A KR100919564B1 (ko) 2007-06-29 2007-06-29 반도체 소자의 미세 패턴 형성 방법
US11/962,405 US7972766B2 (en) 2007-06-29 2007-12-21 Method for forming fine pattern of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070065078A KR100919564B1 (ko) 2007-06-29 2007-06-29 반도체 소자의 미세 패턴 형성 방법

Publications (2)

Publication Number Publication Date
KR20090001023A KR20090001023A (ko) 2009-01-08
KR100919564B1 true KR100919564B1 (ko) 2009-10-01

Family

ID=40160989

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070065078A KR100919564B1 (ko) 2007-06-29 2007-06-29 반도체 소자의 미세 패턴 형성 방법

Country Status (2)

Country Link
US (1) US7972766B2 (ko)
KR (1) KR100919564B1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102107227B1 (ko) * 2013-12-02 2020-05-07 에스케이하이닉스 주식회사 블록 코폴리머를 이용한 패턴 형성을 위한 구조, 패턴 형성 방법, 및 이를 이용한 반도체소자 제조방법
KR102270137B1 (ko) * 2017-11-29 2021-06-28 삼성에스디아이 주식회사 패턴 형성 방법
KR102053921B1 (ko) * 2019-03-13 2019-12-09 영창케미칼 주식회사 반도체 제조 공정에 있어서 식각 패턴 신규 형성 방법
CN110429029B (zh) * 2019-08-23 2022-02-18 合肥维信诺科技有限公司 一种光刻胶图案制备方法和阵列基板制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070044186A (ko) * 2005-10-24 2007-04-27 주식회사 하이닉스반도체 반도체 소자의 패턴 형성방법
KR100714305B1 (ko) * 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
KR100726149B1 (ko) * 2005-06-29 2007-06-13 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR20080025818A (ko) * 2006-09-19 2008-03-24 삼성전자주식회사 하드 마스크 형성 방법

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0178475B1 (ko) 1995-09-14 1999-03-20 윤덕용 신규한 n-비닐락탐 유도체 및 그의 중합체
KR100197673B1 (en) 1996-12-20 1999-06-15 Hyundai Electronics Ind Copolymers containing n-vinyllactam derivatives, preparation methods thereof and photoresists therefrom
KR100211548B1 (ko) 1996-12-20 1999-08-02 김영환 원자외선용 감광막 공중합체 및 그 제조방법
KR100265597B1 (ko) 1996-12-30 2000-09-15 김영환 Arf 감광막 수지 및 그 제조방법
US6180316B1 (en) 1998-01-16 2001-01-30 Jsr Corporation Radiation sensitive resin composition
KR100376984B1 (ko) 1998-04-30 2003-07-16 주식회사 하이닉스반도체 포토레지스트중합체및이를이용한미세패턴의형성방법
KR100419028B1 (ko) 1998-05-13 2004-07-19 주식회사 하이닉스반도체 옥사비시클로화합물,이화합물이도입된포토레지스트중합체및이를이용한포토레지스트미세패턴의형성방법
US6235447B1 (en) 1998-10-17 2001-05-22 Hyundai Electronics Industries Co., Ltd. Photoresist monomers, polymers thereof, and photoresist compositions containing the same
KR100400291B1 (ko) 1998-11-27 2004-02-05 주식회사 하이닉스반도체 신규의포토레지스트용단량체,그의공중합체및이를이용한포토레지스트조성물
JP4270708B2 (ja) 1999-04-23 2009-06-03 富士通株式会社 ケイ素含有ポリマ、その製造方法、それを用いたレジスト組成物、パターン形成方法および電子デバイスの製造方法
KR20050002384A (ko) 2003-06-30 2005-01-07 주식회사 하이닉스반도체 실리콘계 화합물을 포함하는 2층 포토레지스트용 중합체및 이를 이용한 포토레지스트 패턴의 형성 방법
KR100876808B1 (ko) * 2006-07-10 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100726149B1 (ko) * 2005-06-29 2007-06-13 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR20070044186A (ko) * 2005-10-24 2007-04-27 주식회사 하이닉스반도체 반도체 소자의 패턴 형성방법
KR100714305B1 (ko) * 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
KR20080025818A (ko) * 2006-09-19 2008-03-24 삼성전자주식회사 하드 마스크 형성 방법

Also Published As

Publication number Publication date
US7972766B2 (en) 2011-07-05
KR20090001023A (ko) 2009-01-08
US20090004603A1 (en) 2009-01-01

Similar Documents

Publication Publication Date Title
KR100876816B1 (ko) 반도체 소자의 미세 패턴 형성 방법
KR101628423B1 (ko) 폴리실라잔을 사용하는 리버스 톤 상의 형성을 위한 하드마스크 공정
EP1061560B1 (en) Antireflective hard mask compositions
KR100896451B1 (ko) 카본 함량이 개선된 고 내에칭성 반사방지 하드마스크조성물, 이를 이용한 패턴화된 재료 형상의 제조방법
KR100816735B1 (ko) 반사방지 하드마스크 조성물, 이를 이용한 패턴화된 재료형상의 제조방법 및 반도체 집적회로 디바이스
US8877430B2 (en) Methods of producing structures using a developer-soluble layer with multilayer technology
JP4852360B2 (ja) 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法
KR101763048B1 (ko) 비-폴리머릭 실세스퀴옥산들을 포함하는 실리콘-함유 반사 방지 코팅들
KR20110111473A (ko) 더블- 및 트리플-패터닝 리소그라피를 위한 핀-온 스페이서 재료들
US20080153300A1 (en) Method for forming fine pattern of semiconductor device
CN112368645A (zh) 用于euv光刻的粘附层
WO2005040918A2 (en) Low-activation energy silicon-containing resist system
JP2007258683A (ja) 有機シラン系重合体を含むレジスト下層膜用ハードマスク組成物およびこれを用いた半導体集積回路デバイスの製造方法
US7175966B2 (en) Water and aqueous base soluble antireflective coating/hardmask materials
WO2004102274A2 (en) Use of spin-on, photopatternable, interplayer dielectric materials and intermediate semiconductor device structure utilizing the same
KR100919564B1 (ko) 반도체 소자의 미세 패턴 형성 방법
JP4045430B2 (ja) パターン形成方法及びパターン形成材料
JPH11186243A (ja) 酸化シリコン層のエッチング方法
JP2002198283A (ja) レジストパターン形成方法
KR20090102218A (ko) 반도체 소자의 패턴 형성 방법
KR20230007391A (ko) 탄소 재료, 금속 유기 화합물 및 용매를 포함하는 스핀 코팅 조성물, 및 기판 위 금속 산화물 막의 제조 방법
WO2008075860A1 (en) High etch resistant hardmask composition having antireflective properties, method for forming patterned material layer using the hardmask composition and semiconductor integrated circuit device produced using the method
KR100836675B1 (ko) 반사방지 하드마스크 조성물, 이를 이용한 패턴화된 재료형상의 제조방법 및 반도체 집적회로 디바이스
US20230102166A1 (en) Method of manufacturing a semiconductor device
CN115586699A (zh) 密合膜形成材料、使用其的密合膜的形成方法、及使用了密合膜形成材料的图案形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120824

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee