KR20070070035A - 반도체 소자의 제조 방법 - Google Patents

반도체 소자의 제조 방법 Download PDF

Info

Publication number
KR20070070035A
KR20070070035A KR1020060069759A KR20060069759A KR20070070035A KR 20070070035 A KR20070070035 A KR 20070070035A KR 1020060069759 A KR1020060069759 A KR 1020060069759A KR 20060069759 A KR20060069759 A KR 20060069759A KR 20070070035 A KR20070070035 A KR 20070070035A
Authority
KR
South Korea
Prior art keywords
pattern
reflection film
photoresist
film
layer
Prior art date
Application number
KR1020060069759A
Other languages
English (en)
Other versions
KR100772801B1 (ko
Inventor
이성구
정재창
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to TW095131540A priority Critical patent/TWI304226B/zh
Priority to US11/468,080 priority patent/US7807336B2/en
Priority to JP2006243018A priority patent/JP5174335B2/ja
Publication of KR20070070035A publication Critical patent/KR20070070035A/ko
Application granted granted Critical
Publication of KR100772801B1 publication Critical patent/KR100772801B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask

Abstract

본 발명은 반도체 소자의 제조 방법에 관한 것으로, 보다 상세하게는 실리콘이 함유된 반사방지막을 형성한 후 02 플라즈마 공정을 수행함으로써 하드마스크층의 코팅 및 식각 공정은 1회만 수행하도록 하여 공정을 단순화시키며 시간 및 비용을 감소시키는 기술을 나타낸다.

Description

반도체 소자의 제조 방법{Method of Manufacturing Semiconductor Device}
도 1a 내지 도 1i는 종래 반도체 소자의 제조 방법을 도시한 공정 단면도이다.
도 2a 내지 도 2i는 본 발명에 따른 반도체 소자의 제조 방법을 도시한 공정 단면도이다.
<도면의 주요 부분에 대한 부호의 설명>
11, 110 : 반도체 기판, 12, 120 : 피식각층,
13,17,130 : 하드마스크층, 14,18,140,180 : 반사방지막,
15,19,150,190 : 감광막, 16,160,20,200 : 노광 마스크,
12',120' : 피식각층 패턴, 13',17',130' : 하드마스크 패턴,
14',18',140',180' : 반사방지막 패턴,
15',19',150',190' : 감광막 패턴,
145 : O2 플라즈마 처리된 SiO2 포함 반사방지막 패턴
본 발명은 반도체 소자의 제조 방법에 관한 것으로서, 보다 상세하게는 반도체 공정 중 리소그래피(Lithography) 공정의 해상 한계를 뛰어 넘는 패턴 형성을 가능하게 하는 반도체 소자의 제조 방법에 관한 것이다.
최근 반도체 소자의 제조시 노광 장비의 한계를 극복하기 위해 이중 노광 공정으로 미세 패턴을 형성하고 있으며, 그 공정 과정은 다음과 같다.
도 1a 및 도 1b를 참조하면, 반도체 기판(11)의 피식각층(12) 상부에 제1 하드마스크층(13), 제1 반사방지막(14) 및 제1 감광막(15)을 순차적으로 형성한 후, 제1 노광마스크(16)를 이용하여 전체 표면의 제1 영역을 노광하고, 노광된 제1 감광막(15)을 현상하여 제1 감광막 패턴(15')을 형성한다. 이때, 상기 하드마스크층은 통상 비정질 탄소층 및 무기계 하드마스크층의 2중층으로 구성되어 있다.
도 1c 및 도 1d를 참조하면, 상기 제1 감광막 패턴(15')을 식각마스크로 하부 제1 반사방지막(14)을 식각하여 제1 반사방지막 패턴(14')을 형성한 후, 상기 제1 반사방지막 패턴(14')을 식각마스크로 제1 하드마스크층(13)을 식각하여 제1 하드마스크 패턴(13')을 형성한다.
도 1e 및 도 1f를 참조하면, 제1 하드마스크 패턴(13') 상부에 제2 하드마스크층(17), 제2 반사방지막(18) 및 제2 감광막(19)을 순차적으로 형성한 후, 제2 노광마스크(20)를 이용하여 상기 제1 영역과 겹치지 않도록 교번으로 전체 표면의 제2 영역을 노광하고 상기 제2 감광막(19)을 현상하여 제2 감광막 패턴(19')을 형성한다. 이때, 상기 제2 하드마스크층(17)은 제1 하드마스크층(13)과 식각선택비가 다른 물질을 사용하는 것이 바람직하다.
도 1g 및 도 1h를 참조하면, 상기 제2 감광막 패턴(19')을 식각마스크로 하부 제2 반사방지막(18)을 식각하여 제2 반사방지막 패턴(18')을 형성한 후, 상기 제2 반사방지막 패턴(18')을 식각마스크로 제2 하드마스크층(17)을 식각하여 제2 하드마스크 패턴(17')을 형성한다.
도 1i를 참조하면, 제1 및 제2 하드마스크 패턴(13',17')을 식각마스크로 하부 피식각층을 식각한 후, 상기 제1 및 제2 하드마스크 패턴(13',17')을 제거하여 원하는 미세패턴을 형성한다.
그러나, 상술한 종래 기술에 따른 반도체 소자의 미세 패턴 형성 방법의 경우, 감광막, 반사방지막 및 하드마스크층에 대하여 각각 2차례 코팅 및 식각공정을 수행하여야 하므로, 공정이 복잡하여 수율이 감소되는 문제점이 있었다.
상기 문제점을 해결하기 위하여, 본 발명은 실리콘이 함유된 반사방지막을 형성한 후 O2 플라즈마 공정을 수행하여 하드마스크층의 코팅 및 식각 공정을 1회만 수행함으로써 공정을 단순화시켜 시간 및 비용을 감소시키는 반도체 소자의 제조 방법을 제공하는 것을 그 목적으로 한다.
본 발명에 따른 반도체 소자의 제조 방법은
(1) 반도체 기판 상부에 피식각층, 하드마스크층, 실리콘을 포함하는 제1 반사방지막 및 제1 감광막을 순차적으로 형성하는 단계;
(2) 상기 제1 감광막을 제1 노광마스크를 이용하여 노광한 후 현상하여 제1 감광막 패턴을 형성하고, 상기 제1 감광막 패턴을 식각마스크로 상기 제1 반사방지막을 식각하여 제1 반사방지막 패턴을 형성하는 단계;
(3) 상기 제1 반사방지막 패턴에 02 플라즈마를 처리하는 단계;
(4) 상기 결과물 상부에 제2 반사방지막 및 제2 감광막을 순차적으로 형성하고, 제2 노광마스크를 이용하여 제1 감광막 패턴과 겹치지 않는 제2 감광막 패턴을 형성하는 단계;
(5) 상기 제2 감광막 패턴을 식각마스크로 제2 반사방지막을 식각한 후 상기 제2 감광막 패턴을 제거하여 제2 반사방지막 패턴을 형성하는 단계; 및
(6) 상기 제1 및 제2 반사방지막 패턴을 식각마스크로 상기 하드마스크층을 식각하여 하드마스크 패턴을 형성한 후, 상기 하드마스크 패턴을 식각마스크로 상기 피식각층을 식각하여 피식각층 패턴을 형성하는 단계를 포함한다.
본 발명에서는 소정 함량의 실리콘을 함유하는 반사방지막 조성물을 사용하여 제1 반사방지막 패턴을 형성하고, 이후 02 플라즈마를 처리하여 제1 반사방지막 패턴 내의 실리콘을 산화시킴으로써 후속 식각 공정에서 상기 제1 반사방지막 패턴이 식각되지 않도록 하여 공정 단계를 줄일 수 있다는 것을 특징으로 한다. 상기에서, 실리콘은 전체 반사방지막 조성물에 대해 30 내지 40 중량%의 함량으로 포함된다. 또한, 상기 제2 반사방지막 조성물은 상기 제1 반사방지막 조성물과 동일하거나 또는 상이한 물질로 형성해도 무방하며, 통상적으로 사용되는 임의의 반사방 지막 조성물을 제한없이 사용할 수 있다. 이때, '상이한 물질'이란 제1 반사방지막 조성물과는 달리 실리콘을 함유하지 않는 임의의 반사방지막 조성물을 의미하는 것으로서, 특정한 반사방지막 조성물에 한정되는 것은 아니다.
한편, 실리콘을 함유하는 상기 반사방지막 조성물로는 종래의 유기 반사방지막 조성물과 마찬가지로 가교 결합이 이루어지도록 설계된 폴리머, 노광 광원의 파장대에서 큰 흡광도를 갖는 광흡수제 및 열산발생제를 포함하는 조성물이 제한없이 사용될 수 있다. 이러한 실리콘-함유 반사방지막 조성물은 가교 반응을 활성화시키기 위해 열처리시 가교가 될 수 있는 가교제를 더 함유해도 무방하다.
이하, 본 발명을 첨부한 도면을 참조하여 상세히 설명한다.
도 2a 내지 도 2i는 본 발명에 따른 반도체 소자의 미세 패턴 형성 방법을 도시한 단면도들이다.
도 2a 및 도 2b를 참조하면, 반도체 기판(110) 상부에 피식각층(120), 하드마스크층(130), 제1 반사방지막(140) 및 제1 감광막(150)을 순차적으로 형성한 후, 제1 노광마스크(160)를 이용하여 전체 표면의 제1 영역을 노광하고 상기 제1 감광막(150)을 현상하여 제1 감광막 패턴(150')을 형성한다. 이때, 제1 반사방지막(140)은 30 내지 40 중량%의 실리콘이 함유된 물질로 형성하는 것이 바람직하며, 또한 상기 하드마스크층(130)은 비정질 탄소층 및 무기계 하드마스크층의 2중층으로 구성되어 있는 것이 바람직하다. 아울러, 상기 노광원으로는 400 nm 이하의 파장을 가지는 모든 광원, 구체적으로는 ArF (193 nm), KrF (248 nm), EUV (Extreme Ultra Violet), VUV (Vacuum Ultra Violet, 157 nm), E-빔, X-선 및 이온빔으로 구 성된 군으로부터 선택되는 광원이 제한없이 사용될 수 있으며, 노광 공정은 사용되는 감광제의 종류에 따라 다르지만 통상적으로 70 내지 150 mJ/㎠, 바람직하게는 100 mJ/㎠의 노광에너지로 수행되는 것이 바람직하다. 이중에서 노광원으로는 ArF, KrF 또는 VUV를 사용하는 것이 바람직하고, ArF를 사용하는 것이 더욱 바람직하다.
도 2c 및 도 2d를 참조하면, 제1 감광막 패턴(150')을 마스크로 제1 반사방지막(140)을 식각한 후 제1 감광막 패턴(150')을 제거하여 제1 반사방지막 패턴(140')을 형성한다. 이후, 02 플라즈마 처리 공정을 수행하여 제1 반사방지막 패턴(140') 내의 실리콘을 산화시킴으로써, SiO2로 변형된 제1 반사방지막 패턴(145)을 형성한다.
도 2e 및 도 2f를 참조하면, 상기 SiO2-포함 제1 반사방지막 패턴(145)을 포함하는 전체 표면 상부에 제2 반사방지막(180) 및 제2 감광막(190)을 형성한 후, 제2 노광마스크(200)를 이용하여 제1 반사방지막 패턴(140)과 겹치지 않도록 상호 교번적으로 전체 표면의 제2 영역을 노광 후 현상하여 제2 감광막 패턴(190')을 형성한다. 이때, 상기 제2 반사방지막(180)은 제1 반사방지막(140)과는 달리 실리콘을 함유하는 반사방지막 조성물을 사용하지 않아도 무방하며, 통상의 반사방지막 조성물을 제한없이 사용할 수 있다. 한편, 상기 제1 및 제2 감광막은 통상의 감광제 조성물을 제한없이 사용해도 무방하다.
도 2g 및 도 2h를 참조하면, 제2 감광막 패턴(190')을 마스크로 상기 제2 반 사방지막(180)을 식각한 후 제2 감광막 패턴(190')을 제거하여 제2 반사방지막 패턴(180')을 형성한다. 이때, 상기 제1 반사방지막 패턴(145)은 O2 플라즈마 처리에 의해 내부에 포함된 실리콘이 SiO2로 변형되기 때문에 식각 공정시 제거되지 않고 남아 있게 된다. 이후, 상기 제1 및 제2 반사방지막 패턴(145,180')을 마스크로 하드마스크층(130)을 식각한 후, 제1 및 제2 반사방지막 패턴(145,180')을 제거하여 하드마스크 패턴(130')을 형성한다.
도 2i를 참조하면, 하드마스크 패턴(130')을 마스크로 피식각층(120)을 식각한 후 하드마스크 패턴(130')을 제거하여 원하는 미세패턴(120')을 형성한다.
본 발명에 따른 반도체 소자의 제조 방법은 실리콘이 함유된 반사방지막을 형성한 후 02 플라즈마 공정을 수행함으로써 하드마스크층의 코팅 및 식각 공정을 1회만 수행하도록 하여 공정을 단순화시키며 시간 및 비용을 감소시키는 효과가 있다.

Claims (7)

  1. (1) 반도체 기판 상부에 피식각층, 하드마스크층, 실리콘을 포함하는 제1 반사방지막 및 제1 감광막을 순차적으로 형성하는 단계;
    (2) 상기 제1 감광막을 제1 노광마스크를 이용하여 노광한 후 현상하여 제1 감광막 패턴을 형성하고, 상기 제1 감광막 패턴을 마스크로 상기 제1 반사방지막을 식각하여 제1 반사방지막 패턴을 형성하는 단계;
    (3) 상기 제1 반사방지막 패턴에 02 플라즈마를 처리하는 단계;
    (4) 상기 결과물 상부에 제2 반사방지막 및 제2 감광막을 순차적으로 형성하고, 제2 노광마스크를 이용하여 제1 감광막 패턴과 겹치지 않는 제2 감광막 패턴을 형성하는 단계;
    (5) 상기 제2 감광막 패턴을 식각마스크로 제2 반사방지막을 식각한 후 상기 제2 감광막 패턴을 제거하여 제2 반사방지막 패턴을 형성하는 단계; 및
    (6) 상기 제1 및 제2 반사방지막 패턴을 식각마스크로 상기 하드마스크층을 식각하여 하드마스크 패턴을 형성한 후, 상기 하드마스크 패턴을 식각마스크로 상기 피식각층을 식각하여 피식각층 패턴을 형성하는 단계를 포함하는 반도체 소자의 제조 방법.
  2. 제1항에 있어서,
    상기 제1 반사방지막은 30 내지 40 중량% 함량의 실리콘을 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  3. 제1항 또는 제2항에 있어서,
    상기 제2 반사방지막은 상기 제1 반사방지막과 동일하거나 또는 상이한 물질로 형성하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  4. 제1항에 있어서,
    상기 노광원은 ArF (193 nm), KrF (248 nm), EUV (Extreme Ultra Violet), VUV (Vacuum Ultra Violet, 157 nm), E-빔, X-선 및 이온빔으로 구성된 군으로부터 선택되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  5. 제1항에 있어서,
    상기 하드마스크층은 피식각층 상부에 비정질 탄소층 및 무기계 하드마스크층의 2중층으로 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  6. 제1항에 있어서,
    상기 제1 반사방지막 패턴 및 제2 반사방지막 패턴은 상호 교번적으로 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  7. (1) 반도체 기판 상부에 피식각층, 실리콘을 포함하는 제1 반사방지막 및 제1 감광막을 순차적으로 형성하는 단계;
    (2) 상기 제1 감광막을 제1 노광마스크를 이용하여 노광한 후 현상하여 제1 감광막 패턴을 형성하고, 상기 제1 감광막 패턴을 마스크로 상기 제1 반사방지막을 식각하여 제1 반사방지막 패턴을 형성하는 단계;
    (3) 상기 제1 반사방지막 패턴에 02 플라즈마를 처리하는 단계;
    (4) 상기 결과물 상부에 제2 반사방지막 및 제2 감광막을 순차적으로 형성한 후, 제2 노광마스크를 이용하여 제1 감광막 패턴과 겹치지 않는 제2 감광막 패턴을 형성하는 단계;
    (5) 상기 제2 감광막 패턴을 식각마스크로 제2 반사방지막을 식각한 후 상기 제2 감광막 패턴을 제거하여 제2 반사방지막 패턴을 형성하는 단계; 및
    (6) 상기 제1 및 제2 반사방지막 패턴을 식각마스크로 상기 피식각층을 식각하여 피식각층 패턴을 형성하는 단계를 포함하는 반도체 소자의 제조 방법.
KR1020060069759A 2005-12-28 2006-07-25 반도체 소자의 제조 방법 KR100772801B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
TW095131540A TWI304226B (en) 2005-12-28 2006-08-28 Method for manufacturing semiconductor device
US11/468,080 US7807336B2 (en) 2005-12-28 2006-08-29 Method for manufacturing semiconductor device
JP2006243018A JP5174335B2 (ja) 2005-12-28 2006-09-07 半導体素子の製造方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20050132109 2005-12-28
KR1020050132109 2005-12-28

Publications (2)

Publication Number Publication Date
KR20070070035A true KR20070070035A (ko) 2007-07-03
KR100772801B1 KR100772801B1 (ko) 2007-11-01

Family

ID=38214315

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060069759A KR100772801B1 (ko) 2005-12-28 2006-07-25 반도체 소자의 제조 방법

Country Status (3)

Country Link
KR (1) KR100772801B1 (ko)
CN (1) CN100477081C (ko)
TW (1) TWI304226B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100876816B1 (ko) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
KR100912959B1 (ko) * 2006-11-09 2009-08-20 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 제조 방법

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013078211A1 (en) * 2011-11-21 2013-05-30 Brewer Science Inc. Assist layers for euv lithography
CN103681251B (zh) * 2012-09-20 2018-02-09 中国科学院微电子研究所 混合光学和电子束光刻方法
CN104051241A (zh) * 2013-03-11 2014-09-17 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103367120B (zh) * 2013-07-08 2018-01-26 上海集成电路研发中心有限公司 高分辨率沟槽图形的形成方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010002129A (ko) * 1999-06-11 2001-01-05 김영환 반도체소자의 미세패턴 형성방법
KR100383636B1 (ko) * 2000-05-31 2003-05-16 삼성전자주식회사 반도체 장치의 패턴 형성방법
KR100451509B1 (ko) * 2002-02-26 2004-10-06 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100912959B1 (ko) * 2006-11-09 2009-08-20 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 제조 방법
KR100876816B1 (ko) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US7989145B2 (en) 2007-06-29 2011-08-02 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device

Also Published As

Publication number Publication date
CN100477081C (zh) 2009-04-08
KR100772801B1 (ko) 2007-11-01
TW200725735A (en) 2007-07-01
TWI304226B (en) 2008-12-11
CN1992156A (zh) 2007-07-04

Similar Documents

Publication Publication Date Title
JP4921898B2 (ja) 半導体素子の製造方法
TWI387998B (zh) 微影方法
JP5944484B2 (ja) リソグラフィ適用において感放射線材料のラインを幅狭化する方法
TWI459440B (zh) 微影應用中之雙型顯影用之全面性曝光製程
TWI483079B (zh) Pattern formation method
TW201800861A (zh) 微影圖案化方法
US8153350B2 (en) Method and material for forming high etch resistant double exposure patterns
US20050130068A1 (en) Pattern forming method and method for manufacturing a semiconductor device
US8158332B2 (en) Method of manufacturing a semiconductor device
JP5106020B2 (ja) パターン形成方法
US8338086B2 (en) Method of slimming radiation-sensitive material lines in lithographic applications
KR100772801B1 (ko) 반도체 소자의 제조 방법
WO2016100705A1 (en) Method of forming a mask for substrate patterning
CN108983546A (zh) 微影方法
JP3373147B2 (ja) フォトレジスト膜及びそのパターン形成方法
CN108333866B (zh) 光刻图案化的方法
JP5174335B2 (ja) 半導体素子の製造方法
TW201820411A (zh) 微影圖案化方法
JP2005173322A (ja) パターン形成方法
KR20060070659A (ko) Barc 실리레이션를 이용한 반도체 소자의 제조 방법
KR20070122011A (ko) 반도체 소자의 제조 방법
KR20040081678A (ko) 사진 식각 공정을 이용한 패턴 형성 방법
KR20030049900A (ko) 불화아르곤 노광원을 이용한 패턴 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110923

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20120921

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee